3 research outputs found

    Modélisation de systèmes ultrasonores avec VHDL-AMS (Application à la mesure du paramètre de non linéarité B/A)

    No full text
    Ce travail aborde la modélisation des systèmes ultrasonores avec VHDL-AMS. Le but recherché est la prédiction du comportement d'une cellule de mesure dédiée à la mesure des non linéarités ultrasonores. Afin de prévoir dans un futur proche la miniaturisation et la conception d'un système de mesure dont les performances seront estimables grâce à nos modèles, nous procédons par une décomposition du système de mesure en associant à chacun des éléments un modèle comportemental développé en langage VHDL-AMS. L'originalité de nos travaux repose sur la prise en compte du paramètre de non linéarité B/A dans la modélisation du milieu de propagation. Les résultats de simulation sont rigoureusement comparés avec les outils tels que Matlab ou PSPICE et ainsi que les mesures obtenues in-vitro. Ce travail se décompose en 4 parties : L'état de l'art de la modélisation des systèmes ultrasonores ; L'analyse théorique de la propagation non linéaire et les méthodes de mesure du paramètre B/A ; La modélisation des transducteurs piézocéramiques et du milieu de propagation non linéaire avec VHDL-AMS ; La modélisation et la conception d'une cellule de mesure des non linéarités ultrasonores en pulse-écho et transmission. Les résultats obtenus prédisent à priori qu'un milieu peut être caractérisé en fonction de la mesure du paramètre B/A estimé pour certains milieux liquides et biologiques. Les perspectives ouvertes par ce travail ont été soulignées par des membres de la communauté scientifiques à différents congrès internationaux.This thesis abords ultrasound modelling systems with VHDL-AMS. Goal is prediction of a measurement cell behaviour dedicated to ultrasound non linearity measurements. To preview in a near future miniaturisation and conception of a measurement system which performances could be estimated with ours models, we proceed by a decomposition of the measurement system with associating to each elements a behavioural model developed in VHDL-AMS language. Originality of ours works is the integration of the non linearity parameter B/A in the propagation medium modelling. Simulation results are rigorously compared to implantation in Matlab and PSPICE software and in vitro measurement. This work is divided in 4 parts : State of the art of the ultrasound modelling systems ; Theoretical analysis of the non linear propagation and measurement methods of the parameter B/A ; Piezoceramic transducers and non linear medium modelling with VHDL-AMS ; Modelling and conception of a non linearity measurement cell in pulse-echo and transmission mode. Results obtained show that a medium could be characterized as function to the B/A parameter estimated with different biological and liquid mediums. Perspectives open by this work has been highlighted by scientific community members at different international congress.NANCY1-SCD Sciences & Techniques (545782101) / SudocSudocFranceF

    Double element ultrasonic transducer modelling with VHDL-AMS for lossy piezoceramic.

    No full text
    International audienceThis paper presents a double element piezoceramic transducer modelling coupled with its electronics with VHDL-AMS referenced IEEE 1076.1. Piezoceramic double element is used for its sensibility at two different frequencies and dedicated to harmonic generation measurement in non linear ultrasonic domain. It was composed by a first element with a piezoceramic ring structure vibrating at a frequency of 2,25 MHz in thickness mode. The second element is stuck into the center of the first. This geometrical form is a disc vibrating at 4,5 MHz in thickness mode too. Transducer modelling with electronic and acoustic environment are studied with electrical and mechanical losses of the piezoceramic material. Transducer is implemented into VHDL-AMS with the Redwood model based on the piezoelectricity equations. To estimate transducer performance, we simulate pulse voltage response for each element. Results obtained for a P188 piezoceramic transducer show that transducer losses must be take into account in performance evaluation
    corecore