36 research outputs found

    The EU Research Project PLANET

    Get PDF
    Renewable energy sources offer unprecedented opportunities to reduce greenhouse gas emissions. But some challenges remain to be solved before their full benefits can be reaped. The main one relates to the intermittency of their electricity supply which can lead to grid problems such as congestion and imbalance between generation and demand. Energy conversion and storage has been touted as a very promising solution to all aforementioned issues. PLANET will develop a holistic decision support system for the optimal orchestration of the different energy networks for aggregators and balance responsible parties, policy makers and network operators. It will aid them to leverage innovative energy conversion in alternative carriers and storage technologies in order to explore, identify, evaluate and quantitatively assess optimal grid planning and management strategies for future energy scenarios targetting full energy system decarbonization. Moreover, an analysis of the possible synergies between electricity, gas and heat networks will be carried out by creating simulation models for the integration between energy networks and conversion/storage technologies, for example power-to-gas, power-to-heat and virtual thermal energy storage. Application of the developed tools in two different test cases in Italy and France will showcase their benefits and reveal potential grid stability issues and effective countermeasures

    Obstetric outcomes after treatment of periodontal disease during pregnancy: systematic review and meta-analysis

    Get PDF
    Objective To examine whether treatment of periodontal disease with scaling and root planing during pregnancy is associated with a reduction in the preterm birth rate

    Topology exploration for energy efficient intra-tile communication

    No full text
    With technology nodes scaling down, the energy consumed by the on-chip intra-tile interconnects is beginning to have a significant impact on the total chip energy. The Energyoptimal Sectioned Bus (ESB) template is an energy efficient architecture style for on-chip communication between components. To achieve minimum energy operation, the netlist topology of the ESB bus should however be optimized accordingly. In this paper we present a strategy for the definition of an energy optimal netlist for the ESB bus. An initial floorplanning stage provides information about the eventual lengths of the interconnect wires and a subsequent exploration step defines the optimal topology for the communication architecture. We motivate that a star topology generated using wire length prediction can be up to a factor 4 more energy efficient compared to standard linear bus topologies

    Energy/area/delay Trade-offs in the Physical Design of On-chip Segmented Bus Architecture

    No full text
    Abstract — The increasing gap between design productivity and chip complexity and the emerging Systems-On-Chip (SOC) architectural template have led to the wide utilization of reusable hard Intellectual Property (IP) cores. Macro block-based physical design implementation needs to find a well balanced solution among chip area, on-chip communication energy and critical communication path delay. We present in this paper an automated way to implement an energy optimal netlist interconnecting the hard macro blocks using a heavily segmented communication architecture. We explore the entire trade-off curve among the network energy, chip area and critical communication path delay at the floorplanning stage based on two real-life application drivers. Large energy gains with small area overheads are illustrated during the floorplanning stage. This trade-off profile is a good guideline for the SOC designers to choose the optimal solution for their specific systems. Index Terms — Segmented bus, macro blocks, trade-offs, floorplanning. I

    Physical design implementation of segmented buses to reduce communication energy

    No full text
    The amount of energy consumed for interconnecting the IP-blocks is increasing significantly due to the suboptimal scaling of long wires. To limit this energy penalty, segmented buses have gained interest in the architectural community. However, the netlist topology and the physical design stage significantly influence the final communication energy cost. We present in this paper an automated way to implement a netlist consisting of hard macro blocks, which are interconnected with heavily segmented buses in an energy optimal fashion for communication. We optimize the network wires energy dissipation in two separate, but related steps: minimizing the number of segments for active communication paths at the first step (block ordering), followed by the activity aware floorplanning step to minimize the physical length of these segments. Energy gains of up to a factor of 4 are achieved compared to a standard system implementation using a shared bus. Especially, the block ordering step contributes significantly to the network energy optimization process
    corecore