4 research outputs found

    Overlay-Aware Detailed Routing for Self-Aligned Double Patterning Lithography Using the Cut Process

    No full text
    自對準雙圖案微影技術被認為是最有希望突破傳統光學微影解析 度極限的技術之一。自對準雙圖案微影技術搭配切除光罩近來備受矚 目,因其能提供較高的設計靈活度,例如:自對準雙圖案微影技術搭配 切除光罩能夠不使用縫合圖案而分解奇圈。本論文題出了第一個在細 部繞線時使用切除光罩分解奇圈的演算法。此外,疊對誤差控制亦是 增進良率的關鍵,然而現有的細部繞線演算法皆僅能處理部分的疊對 誤差情形。在本論文中,我們辨別出所有可能造成疊對誤差的電路圖 案,並提出一全新的限制圖來捕捉電路布局中的所有疊對誤差。有了 上述技術,我們的繞線演算法可取得高品質的繞線結果並大幅減少疊 對誤差 (因此提高良率)。 相較於現存的三個最先進的自對準雙圖案微 影繞線演算法,我們提出的演算法能在最短的時間內得到最少的疊對 誤差和完全沒有切除圖案衝突的結果。Self-aligned double patterning (SADP) is one of the most promising techniques for sub-20nm technology. Spacer-is-dielectric SADP using a cut process is getting popular because of its higher design flexibility; for example, it can decompose odd cycles without the need of inserting any stitch. This thesis presents the fi rst work that applies the cut process for decomposing odd cycles during routing. For SADP, further, overlay control is a critical issue for yield improvement; while published routers can handle only partial overlay scenarios, our work identifies all the scenarios that induce overlays and proposes a novel constraint graph to model all overlays. With the developed techniques, our router can achieve high-quality routing results with significantly fewer overlays (and thus better yields). Compared with three state-of-the-art studies, our algorithm can achieve the best quality and efficiency, with zero cut conflicts, smallest overlay length, highest routability, and fastest running time.Acknowledgements ii Abstract (Chinese) iii Abstract v List of Tables viii List of Figures ix Chapter 1. Introduction 1 1.1 Double Patterning Lithography . . . . . . . . . . . . . . . . . . . . . . . 1 1.1.1 Litho-etch-litho-etch Double patterning . . . . . . . . . . . . . . . 2 1.1.2 Self-aligned Double Patterning . . . . . . . . . . . . . . . . . . . . 4 1.2 Related Work . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9 1.2.1 SADP-aware Detailed Routing for the Trim Process . . . . . . . . 9 1.2.2 SADP-aware Detailed Routing for the Cut Process . . . . . . . . . 10 1.3 Motivation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11 1.4 Our Contributions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13 1.5 Thesis Organization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14 Chapter 2. Preliminaries 15 2.1 Side Overlay . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15 2.2 Design Rules and Cut-Mask Con ict . . . . . . . . . . . . . . . . . . . . 15 2.3 Problem Formulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17 Chapter 3. Overlay-aware Detailed Routing for SADP Using the Cut Process 18 3.1 Potential Overlay Scenarios . . . . . . . . . . . . . . . . . . . . . . . . . . 18 3.2 Overlay Constraint Graph . . . . . . . . . . . . . . . . . . . . . . . . . . 33 3.3 Linear-Time Color Flipping Algorithm . . . . . . . . . . . . . . . . . . . 35 3.4 Overall Routing Scheme . . . . . . . . . . . . . . . . . . . . . . . . . . . 40 Chapter 4. Experimental Results 44 4.1 Comparison of SADP-aware routers . . . . . . . . . . . . . . . . . . . . . 44 4.2 Comparison of Rectilinear Polygon Fragmenting Methods . . . . . . . . 48 Chapter 5. Conclusions and Future Work 52 Bibliography 55 Publication List 5

    Overlay-aware detailed routing for self-aligned double patterning lithography using the cut process

    No full text

    Design for Manufacturability in Advanced Lithography Technologies

    Get PDF
    As the technology nodes keep shrinking following Moore\u27s law, lithography becomes increasingly critical to the fabrication of integrated circuits. The 193nm ArF immersion lithography (193i) has been a common technique for manufacturing integrated circuits. However, the 193i with single exposure has finally reached its printability limit at the 28nm technology node. To keep the pace of Moore\u27s law, design for manufacturability (DFM) is demonstrated to be effective and cost-efficient. The concept of DFM is to modify the design of integrated circuits in order to make them more manufacturable. Tremendous efforts have been made for DFM in advanced lithography technologies. In general, the progress can be summarized in four directions. (1) Advanced lithography process by novel patterning techniques and next-generation lithography; (2) High performance lithography simulation approach in mask synthesis; (3) Physical design (PD) methodology with lithography manufacturability awareness; (4) Robust design flow integrating emerging PD challenges. Accordingly, we propose our research topics in those directions. (1) Throughput optimization for self-aligned double patterning (SADP) and e-beam lithography based manufacturing of 1D layout; (2) Design of efficient rasterization algorithm for mask patterns in inverse lithography technology (ILT); (3) SADP-aware detailed routing; (4) SADP-aware detailed routing with consideration of double via insertion and via manufacturability; (5) Pin accessibility driven detailed placement refinement. In our first research work, we investigate throughput optimization of 1D layout manufacturing. SADP is a mature lithography technique to print 1D gridded layout for advanced technologies. However, in 16nm technology node, trim mask pattern in SADP lithography process may not be printable using 193i along within a single exposure. A viable solution is to complement SADP with e-beam lithography. To order to increase the throughput of 1D layout manufacturing, we consider the problem of e-beam shot minimization subject to bounded line-end extension constraints. Two different approaches of utilizing the trim mask and e-beam to print a 1D layout are considered. The first approach is trimming by end cutting, in which trim mask and e-beam are used to chop up parallel lines at required locations by small fixed rectangles. The second approach is trimming by gap removal, in which trim mask and e-beam are used to rid of all unnecessary portions. We propose elegant integer linear program formulations for both approaches. Experimental results show that both integer linear program formulations can be solved efficiently and have a major speedup compared with previous related work. Furthermore, the pros and cons of the two approaches for manufacturing 1D layout are discussed. In our second research work, we focus on a critical problem of lithography simulation in the design of ILT mask. To reduce the complexity of modern lithography simulation, a widely used approach is to first rasterize the ILT mask before it is inputted to the simulation tool. Accordingly, we propose a high performance rasterization algorithm. The algorithm is based on a pre-computed look-up table. Every pixel in the rasterized image is firstly identified its category: exception or non-exception. Then convolution for every pixel can be performed by a single or multiple look-up table queries depending on its category. In addition, the proposed algorithm has shift invariant property and can be applied for all-angle mask patterns in ILT. Experimental results demonstrate that our approach can speedup conventional rasterization process by almost 500x while maintaining small variations in critical dimension. In our third research work, we concentrate on SADP-aware detailed routing. SADP is a promising manufacturing option for sub-22nm technology nodes due to its good overlay control. To ensure layout is manufacturable by SADP, it is necessary to consider it during layout configuration, e.g., detailed routing stage. However, SADP process is not intuitive in terms of mask design, and considering it during detailed routing stage is even more challenging. We investigate both of two popular types of SADP: spacer-is-dielectric and spacer-is-metal. Different from previous works, we apply the color pre-assignment idea and propose an elegant graph model which captures both routing and SADP manufacturing cost. They greatly simplify the problem to maintain SADP design rules during detailed routing. A negotiated congestion based rip-up and reroute scheme is applied to achieve good routability while maintaining SADP design rules. Our approach can be extended to consider other multiple patterning lithography during detailed routing, e.g., self-aligned quadruple patterning targeted at sub-10nm technology nodes. Compared with state-of-the-art academic SADP-aware detailed routers, we offer routing solution with better quality of result. In our fourth research work, we extend our SADP-aware detailed routing to consider other manufacturing issues. Both SADP and triple patterning lithography (TPL) are potential layout manufacturing techniques in 10nm technology node. While metal layers can be printed by SADP, via layer manufacturing requires TPL. Previous works on SADP-aware detailed routing do not automatically guarantee via layer are manufacturable by TPL. We extend our SADP-aware detailed routing to consider TPL manufacturability of via layer. Double via insertion is an effective method to improve yield and reliability in integrated circuits manufacturing. We also consider it in our SADP-aware detailed routing to further improve insertion rate. A problem of TPL-aware double via insertion in the post routing stage is proposed. It is solved by both integer linear programming and high-performance heuristic. Experimental results demonstrate that our SADP-aware detailed routing can ensure via layer are TPL manufacturable and improve double via insertion rate. In our last research work, we target at the enhancement of pin access. The significant increased number of routing design rules in advanced technologies has made pin access an emerging difficultly in detailed routing. Resolving pin access in detailed routing may be too late due to the fix pin locations. Thus, we consider pin access in earlier design stage, i.e., detailed placement stage, when perturbation of cell placement is allowed. A cost function is proposed to model pin access for each pin-to-pin connection in detailed routing. A two-phase detailed placement refinement is performed to improve pin access, and refinement techniques are limited to cell flipping, same-row adjacent cell swap and cell shifting. The problem is solved by dynamic programming and linear programming. Experimental results demonstrate that the proposed detailed placement refinement improve pin access and reduce the number of unroutable nets in detailed routing significantly
    corecore