34 research outputs found

    Silicon Photomultipliers for Scintillation Detection Systems.

    Full text link
    Sensitive photodetectors find application in radiation detection systems where light from scintillating crystals must be measured to determine the energy, position, and/or time of radiation interactions. The use of traditional glass photomultiplier tubes has recently been challenged by the advent of solid state photosensors capable of resolving single optical photons. The silicon photomultiplier is an array of such sensors and presents unique detection and noise characteristics which must be thoroughly understood for optimal detection. This dissertation exposes the underlying statistical detection processes in order to indicate device parameters of greatest interest. Several unique fabrication methods are employed and analyzed which attempt to tailor the large electric fields required for acceleration and amplification of single photoelectrons. Transparent thin film processes are developed for integration of antireflection coatings and large-value avalanche quench resistors.Ph.D.Nuclear Engineering & Radiological SciencesUniversity of Michigan, Horace H. Rackham School of Graduate Studieshttp://deepblue.lib.umich.edu/bitstream/2027.42/86277/1/pbarton_1.pd

    Wafer scale heteroepitaxy of silicon carbon and silicon carbide thin films and their material properties

    Get PDF
    For years now, many have believed the solution to reducing the cost of the wide bandgap compound semiconductor silicon carbide (SiC) is to grow its cubic form (3C-SiC) heteroepitaxially on silicon (Si). This has the potential to reduce cost, increase wafer size and integrate SiC with Si technology. After decades of research, 3C-SiC grown on Si is still yet to penetrate the commercial market as the process is plagued with various issues such as very high growth temperatures, thermal stresses, high cost, poor epitaxial material quality and poor scalability to wafer sizes beyond 100 mm diameter. The first section of this thesis starts with a focus on the traditional, high temperature growth of 3C-SiC carried out in the first industrial type SiC based reduced pressure chemical vapour deposition (RP-CVD) reactor installed in a UK University. After the process demonstrated little promise for mass scale implementation into the semiconductor industry, a radical change in strategy was made. The research pivoted away from SiC and instead focussed on silicon carbon alloys (Si1-yCy) with carbon (C) contents in the range of 1-3%. Si1-yCy has a range of applications in strain engineering and reducing contact resistance, differing from 3C- SiC quite significantly. Crystalline alloys with C contents around 1.5% were achieved using an industry standard Si based RP-CVD growth system. Analysis was carried out on the defects that form due to the saturation of C in higher content alloys. The high temperature annealing of Si1-yCy resulted in out diffusion of C and traces of 3C-SiC growth which presented itself as a potential buffer layer for 3C-SiC epitaxy. Through the careful selection of growth precursors and process optimisation, high crystalline quality 3C-SiC was grown heteroepitaxially on Si within the industry standard Si based RP-CVD and in-depth material characterisation has been carried out using a vast range of techniques. High levels of electrically active dopants were incorporated into the 3C-SiC and its electrical properties were investigated. Various investigations were carried out on suspended 3C-SiC and Si1-yCy films including strain and tilt measurements through micro X-ray diffraction and the effect of thickness and doping on their optical properties. The results led to a greater understanding of suspended films and provide a foundation for a number of applications in microelectromechanical systems (MEMS) and optical devices. Further material growth research was carried out on Si1-yCy multilayers, selective epitaxy of 3C-SiC and the growth of 3C-SiC on suspended growth platforms. Each topic presents an interesting area for further research. The research presented demonstrates new, state of the art 3C-SiC heteroepitaxial material and its basic structural, electrical and optical properties. A new low-cost and scalable process has been developed for the heteroepitaxial growth of 3C-SiC on Si substrates up to 100 mm with a clear path to scaling the technology up to 200 mm and beyond. Not only does the developed technology have a high commercial impact, it also paves the way for many interesting future research topics, some of which have been briefly investigated as part of this work

    Application of CMP and wafer bonding for integrating CMOS and MEMS Technology

    Get PDF

    Native and Radiation-Induced Defects in III-V Solar Cells and Photodiodes

    Get PDF
    Photodiodes made of III-V materials are ubiquitous with applications for telecommunications, photonics, consumer electronics, and spectroscopy. The III-V solar cell, specifically, is a large-area photodiode that is used by the satellite industry for power conversion due to its unrivaled efficiency and wide range of available materials. As a device driven by its minority carrier diffusion length (MCDL), the performance of a photodiode is sensitive to crystallographic defects that create states in the forbidden energy gap. Defects commonly arise during growth of the crystal and during device fabrication, and they accumulate slowly over time when deployed into the damaging environment of space. Defect-assisted carrier recombination leads to lower MCDL, higher dark current, reduced sensitivity and signal-to-noise ratio, and, in the case of solar cells, reduced power conversion efficiency. Consequently, the development of photodiode technology requires techniques for detection, characterization, and mitigation of defects and the inter-bandgap states they create. In this work, III-V material defects are addressed across a variety of materials and devices. The first half of the work makes use of deep-level transient spectroscopy (DLTS) to deduce the energy level, cross-section, and density of traps the InAlAs, InAlAsSb, and InGaAs lattice-matched to InP. An in situ DLTS system that can monitor defects immediately after irradiation was developed and applied to InGaAs photodiodes irradiated by protons. Evidence of trap annealing was found to occur as low as 150 K. The second half begins with development of GaSb solar cells grown by molecular beam epitaxy on GaAs substrate intended for use in lower-cost monolithic multi-junction cells. Defect analysis by microscopy, dark lock-in thermography, and dark current measurement, among others, was performed. The best GaSb-on-GaAs cell achieved state-of-the-art 4.5% efficiency under concentrated solar spectrum. Finally, light management in III-V photodiodes was explored as a possible route for defect mitigation. Textures, diffraction gratings, metallic mirrors, and Bragg reflectors were simulated by finite difference time domain for single- and multi-junction GaAs-based cells with the aim of reducing the amount of absorber material required and to simultaneously reduce MCDL requirements by generating carriers closer to the junction. The results were inputted into a device simulator to predict efficiency. A backside reflective pyramidal-textured grating was simulated to allow a GaAs cell to be thinned by a factor of \u3e30 compared to a conventional cell

    Gated lateral silicon p-i-n junction photodiodes

    Get PDF
    Research in silicon photonics has recently seen a significant push to develop complete silicon-based optical components for optical communications. Silicon has shown its potential to overcome the bandwidth limitations of microprocessor interconnect, whereas, the silicon platform has already displayed the benefits of low manufacturing costs and CMOS compatibility. The work on “gated lateral silicon p-i-n junction photodiodes” has demonstrated the silicon potential, to detect optical radiations, compatibility to standard CMOS process flow and tuneable spectral response. The lateral structure of gated p-i-n junction photodiodes contributes to high responsivity to short wavelength radiations in these single and dual gate devices. The final objective of this work was to develop high responsivity, CMOS-compatible silicon photodiodes, where the spectral response can be modulated. The lateral p-i-n junction architecture led to high responsivity values, whereas, the MOS gate structure became the basis for tuneable spectral response. The MOS gate structure, made the devices appear as a transistor to the surrounding circuitry and the gate structure in dual gate devices can be used to modulate the spectral response of the device. Single gate devices showed higher responsivity values and comparatively high blue and ultraviolet (UV) response as compared to conventional photodiodes. Surface depletion region in these devices is utilized by placing a MOS gate structure and by patterning an integrated metal grating to detect polarized light. Single and dual gate devices with two variations were fabricated to characterise the device response. Novel lateral architecture of p-i-n junction photodiodes provides a surface depletion region. It is generally anticipated that photodetectors with surface depletion region might produce higher noise. In these devices the surface depletion region has a lateral continuation of gate dielectric which acts as a passivation layer and thus considerably reduced the noise. Physical device modelling studies were performed to verify the experimentally obtained results, which are provided in the relevant measurement chapters. In these devices the speed of operation is a compromise over the high responsivity, CMOS compatibility and tuneable spectral response

    Silicon Integrated HBV Frequency Multipliers for THz Applications

    Get PDF
    This thesis deals with integrated varactor diode circuits for terahertz (THz) applications. In particular hybrid, monolithic microwave integrated circuits (MMICs), and heterogeneous integration are explored for frequency multiplier applications. Each of these techniques addresses different requirements for high power and high frequency electronic circuits. Namely: high thermal conductivity (κ) of substrates for enhanced power capabilities, process reproducibility of small diode and circuit component dimensions, and finally machining properties for enhanced robustness and functionality. A fixed tuned 175 GHz frequency quintupler with a flip-chip assembled Heterostructure Barrier Varactor (HBV) diode was demonstrated. The microstrip circuit was fabricated on AlN substrate - a material with high thermal conductivity. The device delivers 60 mW of output power corresponding to 6.3 % conversion efficiency. The heteregeneous integration of In0.53Ga0.47As/Al0.48Ga0.52As HBV material structure onto silicon and silicon-on-insulator (SOI) substrate was done in a process employing low temperature plasma assisted wafer bonding. Using this technology a frequency tripler (×3) for W-band (75-110 GHz) and frequency quintupler (×5) for 474 GHz were fabricated. The performance of the W-band frequency tripler delivering more than 180 mW of output power is comparable to the identical design in InP MMIC technology. The 474 GHz frequency quintupler circuit was fabricated on SOI substrate, hence robust and unform 20 μm thick circuits were achieved. This multiplier delivers 2.8 mW of output power, and it represents the highest frequency of operation for HBV-based frequency multipliers. By enabling the integration of compound semiconductors onto a silicon substrate, an increase in the performance and functionality of the device is achieved. Moreover, due to good thermal and mechanical properties of silicon, as well as established process technology for this material, a new generation of THz monolithic integrated circuits is possible

    Spin-on siloxane polymers in image sensor applications

    Get PDF
    Tässä työssä esitellään siloksaani-pohjaisten spin-on polymeerien tuomia etuja CMOS-kuvakennojen toimintaan. Ensimmäisessä osassa tutustutaan valon perusominaisuuksiin ja kuinka se käyttäytyy kulkiessaan väliaineessa sekä rajapintojen yli. Lisäksi käydään läpi nykyisin yleisimmin käytössä olevien kuvakennojen toimintaa sekä rakennetta. Työn kokeellisessa osuudessa tullaan osoittamaan mitä etuja siloksaani-pohjaisilla polymeereillä on kennojen valmistuksessa tavallisesti käytettyihin eristekerroksiin verrattuna. Työn aikana tutkittiin erityisesti Silecsin valmistamien korkean taitekertoimen spin-on polymeerikalvojen soveltuvuutta kennon valokanavarakenteeseen. Parannukset erityisesti pieniä pikseleitä hyödyntävien CMOS-kuvakennojen kvanttihyötysuhteeseen sekä pikselien väliseen ylikuulumiseen havainnollistetaan sekä optisen mallinnuksen että käytännön mittausten avulla. IBM:n 2.2 µm pikselikoon CMOS-kuvakennossa saavutettiin valokanavarakenteen avulla 24% parannus kvanttihyötysuhteessa ja 19% parannus ylikuulumisessa. Lisäksi työssä arvioidaan matalan taitekertoimen omaavien polymeerikalvojen soveltuvuutta kennojen passivointiin ja heijastuksenestoon. Havaittiin, että mikrolinssin pinnasta syntyviä heijastuksia pystyttiin merkittävästi vähentämään säätämällä kalvon paksuus ja taitekerroin sovellukseen sopivaksi. Lopuksi havainnollistetaan suorakuvioitavien polymeerien tuomia etuja kennon valmistusprosessiin.The feasibility of siloxane based spin-on polymers in a complementary metal oxide semiconductor (CMOS) image sensor application is studied in this thesis. After an introduction to the fundamental characteristics of light and how it behaves when propagating in matter and through interfaces, the basic operation principles of the most commonly used modern image sensors are reviewed. The experimental part of the thesis will demonstrate the improvements achieved in image sensor performance when replacing conventional dielectrics with siloxane based polymers having specifically tuned refractive indices. Results from optical modelling as well as measurement data from fully functional devices are used to demonstrate the enhanced device performance. Silecs' high refractive index spin-on polymers are shown to significantly improve the quantum efficiency and reduce crosstalk of a small pixel size image sensor utilizing a lightpipe structure. Up to 24% quantum efficiency and 19% crosstalk improvement was achieved in an IBM 2.2 µm pixel size sensor when comparing to a conventional structure. Silecs' low refractive index polymer films used as passivation and anti-reflection coatings on the image sensor microlens array were also studied. Lower reflectance from the microlens surface was achieved by properly tuning the overcoat film thickness and refractive index. Additionally, the overcoat provides mechanical protection to the soft microlens material which can result in improved manufacturing yield. Finally, photosensitized siloxane polymers are demonstrated to yield excellent photopatternability with using industry standard lithographic techniques

    Integrated silicon pressure sensors using wafer bonding technology

    Get PDF
    Thesis (Ph. D.)--Massachusetts Institute of Technology, Dept. of Electrical Engineering and Computer Science, 1997.Includes bibliographical references (p. 151-156).by Lalitha Parameswaran.Ph.D
    corecore