5 research outputs found

    An open source approach to serve a large number of computer users using block-level streaming

    Get PDF
    There are several options for providing a large number of computers to users for their daily tasks. A typical setup may consist of a large number of computers where each relies on an HDD consisting of the required software, sufficient RAM, a capable CPU that meets the software requirements, and a stable network connection. This thesis proposes the use of the open-source AoE protocol to stream an OS to a user computer from a central server. Since the streaming is done from a well-protected central storage, the AoE protocol is less prone to failures compared to the traditional approach based on having a local HDD. Furthermore, AoE being open source, it avoids the need to purchase per seat licenses for streaming. The expected outcome of the proposed design is to create a central system consisting of servers and storage components to serve a large number of end-user diskless clients efficiently

    Time-varying performance analysis of multihop wireless networks with CBR traffic

    Get PDF
    In this paper, we develop a performance modeling technique for analyzing the time-varying network layer queuing behavior of multihop wireless networks with constant bit rate (CBR) traffic. Our approach is a hybrid of a time-varying adjacency matrix and a fluid flow queuing network model. The mobile network topology is modeled using a time-varying adjacency matrix, whereas node queues are modeled using fluid-flow-based differential equations that are solved using numerical methods. Numerical and simulation experiments show that this new approach can provide reasonably accurate results. Moreover, when compared with the computation time required in a standard discrete event simulator, the fluid-flow-based model is shown to be a more scalable tool. Finally, an illustrative example of our modeling technique application is given to show its capability of capturing the time-varying network performance as a function of traffic load, node mobility, and wireless link quality

    Adaptive nonlinear congestion controller for a differentiated-services framework

    No full text

    Resource allocation and congestion control strategies for networked unmanned systems

    Get PDF
    It is generally agreed that communication is a critical technological factor in designing networked unmanned systems (NUS) that consist of a large number of heterogeneous assets/nodes that may be configured in ad-hoc fashion and that incorporate intricate architectures. In order to successfully carry out the NUS missions, communication among assets need to be accomplished efficiently. In contrast with conventional networks, NUSs have specific features that may render communication more complex. The main distinct characteristics of NUS are as follows: (a) heterogeneity of assets in terms of resources, (b) multiple topologies that can be fully-connected, (c) real-time requirements imposed by delivery timeliness of messages under evolving and uncertain environments, (d) unknown and random time-delays that may degrade the closed-loop dynamics performance, (e) bandwidth constraints reflecting differences in assets behavior and dynamics, and (f) protocol limitations for complying with the wireless features of these networks. The NUS system consists of clusters each having three nodes, namely, a sensor, a decision-maker, and an actuator. Inspired by networked control systems (NCS), we introduced a generic framework for NUSs. Using the fluid flow model (FFM), the overall dynamical model of our network cluster is derived as a time-delay dependent system. The following three main issues are investigated in this thesis, bandwidth allocation, an integrated bandwidth allocation and flow rate control, and congestion control. To demonstrate the difficulty of addressing the bandwidth allocation control problem, a standard PID is implemented for our network cluster. It is shown that in presence of feedback loops and time-delays in the network, this controller induces flow oscillations and consequently, in the worst-case scenario, network instability. To address this problem, nonlinear control strategies are proposed instead. These strategies are evaluated subject to presence of unknown delays and measurable/estimated input traffic. For different network configurations, the error dynamics of the entire controlled cluster is derived and sufficient stability conditions are obtained. In addition, our proposed bandwidth allocation control strategy is evaluated when the NUS assets are assumed to be mobile. The bandwidth allocation problem is often studied in an integrated fashion with the flow rate control and the connection admission control (CAC). In fact, due to importance of interaction of various components, design of the entire control system is often more promising than optimization of individual components. In this thesis, several robust integrated bandwidth allocation and flow rate control strategies are proposed. The third issue that is investigated in this thesis is the congestion control for differentiated-services (DiffServ) networks. In our proposed congestion control strategies, the buffer queue length is used as a feedback information to control locally the queue length of each buffer by acting on the bandwidth and simultaneously a feedback signaling notifies the ordinary sources regarding the allowed maximum rate. Using sliding mode generalized variable structure control techniques (SM-GVSC), two congestion control approaches are proposed, namely, the non degenerate and degenerate GVS control approaches. By adopting decentralized end-to-end, semi-decentralized end-to-end, and distributed hop-by-hop control approaches, our proposed congestion control strategies are investigated for a DiffServ loopless mesh network (Internet) and a DiffServ fully-connected NUS. Contrary to the semi-decentralized end-to-end congestion control strategy, in the distributed hop-by-hop congestion control strategy, each output port controller communicates the maximum allowed flow rate only to its immediate upstream node(s) and/or source(s). This approach reduces the required amount of information in the flow control when Compared to other approaches in which the allowed flow rate is sent to all the upstream sources communicating through an output port

    Approches d'optimisation et de personnalisation des réseaux sur puce (NoC : Networks on Chip)

    Get PDF
    Systems-on-chip (SoC) have become more and more complex due to the development of integrated circuit technology.Recent studies have shown that in order to improve the performance of a specific SoC application domain, the on-chipinter-connects (OCI) architecture must be customized at design-time or at run-time. Related approaches generallyprovide application-specific SoCs tailored to specific applications. The aim of this thesis is to carry out new approachesfor Network-on-Chip (NoC) and study their performances, especially in terms of latency, throughput, energyconsumption and simplicity of implementation.We have proposed an approach to allow designers to customize a candidate OCI architecture by adding strategiclinks in order to match large application workload. The analytical evaluation focuses on improving the physicalparameters of the NoC topology regardless of the application that should run on. The evaluation by simulationfocuses to evaluate the communication performances of the NoC. Simulations results show the effectiveness ofthis approach to improve the NoC performances. We have also introduced a compartmental Fluid-flow basedmodeling approach to allocate required resource for each buffer based on the application traffic pattern. Simulationsare conducted and results show the efficiency of this modeling method for a buffer space optimized allocation.Finally, we proposed a joint approach based on a system dynamics theory for evaluating the performance of a flowcontrol algorithm in NoCs. This algorithm allows NoC elements to dynamically adjust their inflow by using afeedback control-based mechanism. Analytical and simulation results showed the viability of this mechanism forcongestion avoidance in NoCs.Les systèmes embarqués sur puce (SoC : Systems-on-Chip) sont devenus de plus en plus complexes grâce à l’évolution de la technologie des circuits intégrés. Des études récentes ont montré que pour améliorer les performances du réseau su puce (NoC : Network-on-Chip), l’architecture de celui-ci pouvait être personnalisée, soit au moment de la conception, soit au moment de l’exécution. L’objectif principal de cette thèse est d’implémenter de nouvelles approches pour améliorer les performances des NoCs, notamment la latence, le débit, la consommation d’énergie, et la simplicité de mise en œuvre.Nous avons proposé une approche pour permettre aux concepteurs de personnaliser l'architecture d’un NoC par insertion de liens stratégiques, pour qu’elle soit adaptée à de nombreuses applications, sous la contrainte d’un budget limité en termes de nombre de liens. L’évaluation analytique porte sur l’amélioration des paramètres physiques de la topologie du NoC sans tenir compte de l’application qui devrait s’exécuter dessus. L’évaluation par simulation porte sur l’évaluation des performances de communication du NoC. Les résultats de simulations montrent l’efficacité de notre approche pour améliorer les performances du NoC. Nous avons également introduit une approche de modélisation par réseau à compartiments pour allouer les ressources nécessaires pour chaque tampon selon le modèle de trafic de l'application cible. Les résultats de simulations montrent l'efficacité de cette approche de modélisation pour l’allocation optimisée de l'espace tampon. Enfin, nous avons proposé une approche conjointe basée sur la théorie des systèmes dynamiques pour évaluer la performance d'un algorithme de contrôle de flux dans les NoCs. Cet algorithme permet aux éléments du NoC d’ajuster dynamiquement leur entrée en utilisant un mécanisme basé sur le contrôle de flux par rétroaction. Les résultats d’évaluations analytiques et de simulation montrent la viabilité de ce mécanisme pour éviter la congestion dans les NoCs
    corecore