114 research outputs found

    A robust computational algorithm for inverse photomask synthesis in optical projection lithography

    Get PDF
    Inverse lithography technology formulates the photomask synthesis as an inverse mathematical problem. To solve this, we propose a variational functional and develop a robust computational algorithm, where the proposed functional takes into account the process variations and incorporates several regularization terms that can control the mask complexity. We establish the existence of the minimizer of the functional, and in order to optimize it effectively, we adopt an alternating minimization procedure with Chambolle's fast duality projection algorithm. Experimental results show that our proposed algorithm is effective in synthesizing high quality photomasks as compared with existing methods.published_or_final_versio

    Joint optimization of source, mask, and pupil in optical lithography

    Get PDF
    Mask topography effects need to be taken into consideration for more advanced resolution enhancement techniques in optical lithography. However, rigorous 3D mask model achieves high accuracy at a large computational cost. This work develops a combined source, mask and pupil optimization (SMPO) approach by taking advantage of the fact that pupil phase manipulation is capable of partially compensating for mask topography effects. We first design the pupil wavefront function by incorporating primary and secondary spherical aberration through the coefficients of the Zernike polynomials, and achieve optimal source-mask pair under the condition of aberrated pupil. Evaluations against conventional source mask optimization (SMO) without incorporating pupil aberrations show that SMPO provides improved performance in terms of pattern fidelity and process window sizes.published_or_final_versio

    Pixelated source mask optimization for process robustness in optical lithography

    Get PDF
    Optical lithography has enabled the printing of progressively smaller circuit patterns over the years. However, as the feature size shrinks, the lithographic process variation becomes more pronounced. Source-mask optimization (SMO) is a current technology allowing a co-design of the source and the mask for higher resolution imaging. In this paper, we develop a pixelated SMO using inverse imaging, and incorporate the statistical variations explicitly in an optimization framework. Simulation results demonstrate its efficacy in process robustness enhancement. © 2011 Optical Society of America.published_or_final_versio

    Cascadic multigrid algorithm for robust inverse mask synthesis in optical lithography

    Get PDF
    published_or_final_versio

    Incorporating photomask shape uncertainty in computational lithography

    Get PDF
    The lithographic performance of a photomask is sensitive to shape uncertainty caused by manufacturing and measurement errors. This work proposes incorporating the photomask shape uncertainty in computational lithography such as inverse lithography. The shape uncertainty of the photomask is quantitatively modeled as a random ?eld in a level-set method framework. With this, the shape uncertainty can be characterized by several parameters, making it computationally tractable to be incorporated in inverse lithography technique (ILT). Simulations are conducted to show the e€ectiveness of using this method to represent various kinds of shape variations. It is also demonstrated that incorporating the shape variation in ILT can reduce the mask error enhancement factor (MEEF) values of the optimized patterns, and improve the robustness of imaging performance against mask shape ?uctuation. © 2016 SPIE.published_or_final_versio

    Robust source and mask optimization compensating for mask topography effects in computational lithography

    Get PDF
    Mask topography effects need to be taken into consideration for a more accurate solution of source mask optimization (SMO) in advanced optical lithography. However, rigorous 3D mask models generally involve intensive computation and conventional SMO fails to manipulate the mask-induced undesired phase errors that degrade the usable depth of focus (uDOF) and process yield. In this work, an optimization approach incorporating pupil wavefront aberrations into SMO procedure is developed as an alternative to maximize the uDOF. We first design the pupil wavefront function by adding primary and secondary spherical aberrations through the coefficients of the Zernike polynomials, and then apply the conjugate gradient method to achieve an optimal source-mask pair under the condition of aberrated pupil. We also use a statistical model to determine the Zernike coefficients for the phase control and adjustment. Rigorous simulations of thick masks show that this approach provides compensation for mask topography effects by improving the pattern fidelity and increasing uDOF.published_or_final_versio

    Computation lithography: Virtual reality and virtual virtuality

    Get PDF
    Computation lithography is enabled by a combination of physical understanding, mathematical abstraction, and implementation simplification. An application in the virtual world of computation lithography can be a virtual reality or a virtual virtuality depending on its engineering sensible-ness and technical feasibility. Examples under consideration include design-for- manufacturability and inverse lithography. © 2009 Optical Society of America.postprin

    An alternating direction method of multipliers for inverse lithography problem

    Full text link
    We propose an alternating direction method of multipliers (ADMM) to solve an optimization problem stemming from inverse lithography. The objective functional of the optimization problem includes three terms: the misfit between the imaging on wafer and the target pattern, the penalty term which ensures the mask is binary and the total variation regularization term. By variable splitting, we introduce an augmented Lagrangian for the original objective functional. In the framework of ADMM method, the optimization problem is divided into several subproblems. Each of the subproblems can be solved efficiently. We give the convergence analysis of the proposed method. Specially, instead of solving the subproblem concerning sigmoid, we solve directly the threshold truncation imaging function which can be solved analytically. We also provide many numerical examples to illustrate the effectiveness of the method

    Inverse Lithography Physics-informed Deep Neural Level Set for Mask Optimization

    Full text link
    As the feature size of integrated circuits continues to decrease, optical proximity correction (OPC) has emerged as a crucial resolution enhancement technology for ensuring high printability in the lithography process. Recently, level set-based inverse lithography technology (ILT) has drawn considerable attention as a promising OPC solution, showcasing its powerful pattern fidelity, especially in advanced process. However, massive computational time consumption of ILT limits its applicability to mainly correcting partial layers and hotspot regions. Deep learning (DL) methods have shown great potential in accelerating ILT. However, lack of domain knowledge of inverse lithography limits the ability of DL-based algorithms in process window (PW) enhancement and etc. In this paper, we propose an inverse lithography physics-informed deep neural level set (ILDLS) approach for mask optimization. This approach utilizes level set based-ILT as a layer within the DL framework and iteratively conducts mask prediction and correction to significantly enhance printability and PW in comparison with results from pure DL and ILT. With this approach, computation time is reduced by a few orders of magnitude versus ILT. By gearing up DL with knowledge of inverse lithography physics, ILDLS provides a new and efficient mask optimization solution
    corecore