56 research outputs found

    New technique for the observation of x-ray CTR scattering by using an imaging plate detector

    Full text link
    A new technique, using an Imaging Plate (IP) detector, was developed for the observation of X-ray crystal truncation rod (CTR) scattering. The use of an IP detector in conjunction with a synchrotron-radiation (SR) source is very effective for the observation of CTR scattering. The advantages and disadvantages of this technique are indicated by examples of the observation of CTR scattering from various samples: a naturally oxidized surface of an Si(111) wafer; MBE-grown GaAs/AlAs/GaAs on GaAs(001) substrate; Al-capped GaAs on an Si(111) substrate; and a cleavage NaCl(001) surface. It is also shown that it is possible to convert the observed intensity of the CTR scattering to an absolute scale if a stationary photograph is taken.Shimura, T. & Harada, J. (1993). J. Appl. Cryst. 26, 151-158, https://doi.org/10.1107/S0021889892010239

    Interface and oxide trap states of SiO2/GaN metal–oxide–semiconductor capacitors and their effects on electrical properties evaluated by deep level transient spectroscopy

    Full text link
    The relationship between the electrical properties and the carrier trap properties of the SiO2/GaN metal–oxide–semiconductor (MOS) capacitors was investigated using electrical measurements and deep level transient spectroscopy (DLTS). The capacitance–voltage (C–V) measurement showed that the frequency dispersion of the C–V curves became smaller after an 800 °C annealing in O2 ambient. DLTS revealed that before the annealing, the interface trap states, in a broad energy range above the midgap of GaN, were detected with the higher interface state density at around 0.3 and 0.9 eV below the conduction band minimum (Ec) of GaN. Moreover, the oxide trap states were formed at around 0.1 eV below the Ec of GaN, plausibly indicating a slow electron trap with a tunneling process. Although both trap states affect the electrical reliability and insulating property of the SiO2/GaN MOS capacitors, they were found to drastically decrease after the annealing, leading to the improvement of the electrical properties.Shingo Ogawa, Hidetoshi Mizobata, Takuma Kobayashi, Takayoshi Shimura, Heiji Watanabe; Interface and oxide trap states of SiO2/GaN metal–oxide–semiconductor capacitors and their effects on electrical properties evaluated by deep level transient spectroscopy. J. Appl. Phys. 7 September 2023; 134 (9): 095704. https://doi.org/10.1063/5.016589

    Control on the density and optical properties of color centers at SiO2/SiC interfaces by oxidation and annealing

    Full text link
    Color centers in solids can serve as single photon emitters (SPEs) those are important in many quantum applications. Silicon carbide (SiC) is a promising host for color centers because of its well-established crystal growth and device technologies. Although color centers with extremely high brightness were found at the silicon dioxide (SiO2)/SiC interface, controlling their density and optical properties remains a challenge. In this study, we demonstrate control over the color centers at the SiO2/SiC interface by designing the oxidation and annealing conditions. We report that post-oxidation CO2 annealing has the ability to reduce the color centers at the interface and form well-isolated SPEs with bright emission. We also discuss the correlation between the color centers and electrically active defects.Takato Nakanuma, Kosuke Tahara, Katsuhiro Kutsuki, Takayoshi Shimura, Heiji Watanabe, Takuma Kobayashi; Control on the density and optical properties of color centers at SiO2/SiC interfaces by oxidation and annealing. Appl. Phys. Lett. 4 September 2023; 123 (10): 102102. https://doi.org/10.1063/5.016674

    Insight into enhanced field-effect mobility of 4H-SiC MOSFET with Ba incorporation studied by Hall effect measurements

    Get PDF
    Improved performance in 4H-SiC metal-oxide-semiconductor field-effect transistors (MOSFETs) by incorporating Ba into insulator/SiC interfaces was investigated by using a combination of the Hall effect and split capacitance-voltage measurements. It was found that a moderate annealing temperature causes negligible metal-enhanced oxidation, which is rather beneficial for increments in field-effect mobility (μFE) of the FETs together with suppressed surface roughness of the gate oxides. The combined method revealed that, while severe μFE degradation in SiC-MOSFETs is caused by a reduction of effective mobile carriers due to carrier trapping at the SiO2/SiC interfaces, Ba incorporation into the interface significantly increases mobile carrier density with greater impact than the widely-used nitrided interfaces

    Excellent electrical properties of TiO2/HfSiO/SiO2 layered higher-k gate dielectrics with sub-1 nm equivalent oxide thickness

    Full text link
    Equivalent oxide thickness (EOT) scaling, as well as improved interface properties, of metal/higher- k gate stacks for the sub- 1 nm region was achieved using a TiO_2/HfSiO/SiO_2 layered dielectric structure. Ti diffusion into the bottom oxides was found to form electrical defects, which lead to an increase of leakage current, fixed charge, interface trap density (Dit), and reliability degradation of the gate stacks. By controlling Ti diffusion and terminating Ti-induced defects using forming gas annealing, we successfully obtained a superior interface property (Dit =9.9× 10^{10} eV^{-1} cm^{-2}) and reduced gate leakage (Jg =7.2× 10^{-2} A/cm^2) at the 0.71-nm -EOT region.Hiroaki Arimura, Naomu Kitano, Yuichi Naitou, Yudai Oku, Takashi Minami, Motomu Kosuda, Takuji Hosoi, Takayoshi Shimura and Heiji Watanabe, "Excellent electrical properties TiO_2/HfSiO/SiO_2 of higher-k gate dielectrics with sub-1nm equivalent oxide thickness", Appl. Phys. Lett. 92, 212902 (2008) https://doi.org/10.1063/1.292968

    Comment on “Observation of a Distributed Epitaxial Oxide in Thermally Grown SiO2 on Si(001)"

    Full text link
    Takayoshi Shimura, Masataka Umeno, Isao Takahashi and Jimpei Harada. Comment on “Observation of a Distributed Epitaxial Oxide in Thermally Grown SiO_2 on Si(001)". Physical Review Letters, 79, 24, 4932. https://doi.org/10.1103/PhysRevLett.79.4932. Copyright 1997 by the American Physical Society

    Humidity-dependent stability of amorphous germanium nitrides fabricated by plasma nitridation

    Full text link
    Katsuhiro Kutsuki, Gaku Okamoto, Takuji Hosoi, Takayoshi Shimura and Heiji Watanabe, "Humidity-dependent stability of amorphous germanium nitrides fabricated by plasma nitridation", Appl. Phys. Lett. 91, 163501 (2007) https://doi.org/10.1063/1.2799260

    Germanium oxynitride gate dielectrics formed by plasma nitridation of ultrathin thermal oxides on Ge(100)

    Full text link
    Germanium oxynitride (GeON) gate dielectrics with surface nitrogen-rich layers were fabricated by plasma nitridation of thermally grown oxides (GeO_2) on Ge(100). Insulating features of ultrathin GeO_2 layers of around 2-nm-thick were found to improve with plasma treatment, in which leakage current was drastically reduced to over four orders of magnitude. Consequently, Au/GeON/Ge capacitors of an equivalent oxide thickness down to 1.7 nm were achieved while keeping sufficient leakage reduction merit. The minimum interface state density values of GeON/Ge structures as low as 3×10^{11} cm^{-2} eV^{-1} were obtained for both the lower and upper halves of the bandgap without any postnitridation treatments. These results were discussed based on the effects of plasma nitridation on a degraded GeO_2 surface for recovering its electrical properties by creating stable nitride layers.Katsuhiro Kutsuki, Gaku Okamoto, Takuji Hosoi, Takayoshi Shimura and Heiji Watanabe, "Germanium oxynitride gate dielectrics formed by plasma nitridation of ultrathin thermal oxides on Ge(100)", Appl. Phys. Lett. 95, 022102 (2009) https://doi.org/10.1063/1.3171938

    Residual order in the thermal oxide of a fully strained SiGe alloy on Si

    Full text link
    Residual order in the thermal oxide of a fully strained SiGe alloy on a Si(001) surface was investigated by synchrotron x-ray diffraction. Ordered SiO_2 was present in the oxide layer, and the crystalline order was similar to that of Si. The dependence of the order on oxidation time and temperature was also obtained. On the basis of these results, the oxidation reaction at the interface and the differences in rate enhancement between dry and wet oxidation conditions are discussed.T. Shimura, Y. Okamoto, T. Inoue et al. Residual order in the thermal oxide of a fully strained SiGe alloy on Si. Physical Review B, 81(3), 033308. https://doi.org/10.1103/PhysRevB.81.033308. Copyright 2010 by the American Physical Society
    corecore