17 research outputs found

    Achieving diffraction-limited performance on the Berkeley MET5

    Get PDF
    The Berkeley MET5, funded by EUREKA, is a 0.5-NA EUV projection lithography tool located at the Advanced Light Source at Berkeley National Lab. Wavefront measurements of the MET5 optic have been performed using a custom in-situ lateral shearing interferometer suitable for high-NA interferometry. In this paper, we report on the most recent characterization of the MET5 optic demonstrating an RMS wavefront 0.31 nm, and discuss the specialized mask patterns, gratings, and illumination geometries that were employed to accommodate the many challenges associated with high-NA EUV interferometry

    Multilayer defects nucleated by substrate pits: a comparison of actinic inspection and non-actinic inspection techniques

    Get PDF
    The production of defect-free mask blanks remains a key challenge for EUV lithography. Mask-blank inspection tools must be able to accurately detect all critical defects while simultaneously having the minimum possible false-positive detection rate. We have recently observed and here report the identification of bump-type buried substrate defects, that were below the detection limit of a non-actinic (i.e. non-EUV) in inspection tool. Presently, the occurrence inspection of pit-type defects, their printability, and their detectability with actinic techniques and non-actinic commercial tools, has become a significant concern. We believe that the most successful strategy for the development of effective non-actinic mask inspection tools will involve the careful cross-correlation with actinic inspection and lithographic printing. In this way, the true efficacy of prototype inspection tools now under development can be studied quantitatively against relevant benchmarks. To this end we have developed a dual-mode actinic mask inspection system capable of scanning mask blanks for defects (with simultaneous EUV bright-field and dark-field detection) and imaging those same defects with a zoneplate microscope that matches or exceeds the resolution of EUV steppers

    Performance of actinic EUVL mask imaging using a zoneplate microscope

    Get PDF
    The SEMATECH Berkeley Actinic Inspection Tool (AIT) is a dual-mode, scanning and imaging extreme-ultraviolet (EUV) microscope designed for pre-commercial EUV mask research. Dramatic improvements in image quality have been made by the replacement of several critical optical elements, and the introduction of scanning illumination to improve uniformity and contrast. We report high quality actinic EUV mask imaging with resolutions as low as 100-nm half-pitch, (20-nm, 5x wafer equivalent size), and an assessment of the imaging performance based on several metrics. Modulation transfer function (MTF) measurements show high contrast imaging for features sizes close to the diffraction-limit. An investigation of the illumination coherence shows that AIT imaging is much more coherent than previously anticipated, with {sigma} below 0.2. Flare measurements with several line-widths show a flare contribution on the order of 2-3% relative intensity in dark regions above the 1.3% absorber reflectivity on the test mask used for these experiments. Astigmatism coupled with focal plane tilt are the dominant aberrations we have observed. The AIT routinely records 250-350 high-quality images in numerous through-focus series per 8-hour shift. Typical exposure times range from 0.5 seconds during alignment, to approximately 20 seconds for high-resolution images

    EUV mask reflectivity measurements with micron-scale spatial resolution

    Get PDF
    The effort to produce defect-free mask blanks for EUV lithography relies on increasing the detection sensitivity of advanced mask inspection tools, operating at several wavelengths. We describe the unique measurement capabilities of a prototype actinic (EUV wavelength) microscope that is capable of detecting small defects and reflectivity changes that occur on the scale of microns to nanometers. Types of defects: (a) Buried Substrate Defects: particles & pits (causes amplitude and/or phase variations); (b) Surface Contamination (reduces reflectivity and (possibly) contrast); (c) Damage from Inspection and Use (reduces the reflectivity of the multilayer coating). This paper presents an overview of several topics where scanning actinic inspection makes a unique contribution to EUVL research. We describe the role of actinic scanning inspection in four cases: defect repair studies; observations of laser damage; after scanning electron microscopy; and native and programmed defects

    Ultra-high accuracy optical testing: creating diffraction-limitedshort-wavelength optical systems

    Get PDF
    Since 1993, research in the fabrication of extreme ultraviolet (EUV) optical imaging systems, conducted at Lawrence Berkeley National Laboratory (LBNL) and Lawrence Livermore National Laboratory (LLNL), has produced the highest resolution optical systems ever made. We have pioneered the development of ultra-high-accuracy optical testing and alignment methods, working at extreme ultraviolet wavelengths, and pushing wavefront-measuring interferometry into the 2-20-nm wavelength range (60-600 eV). These coherent measurement techniques, including lateral shearing interferometry and phase-shifting point-diffraction interferometry (PS/PDI) have achieved RMS wavefront measurement accuracies of 0.5-1-{angstrom} and better for primary aberration terms, enabling the creation of diffraction-limited EUV optics. The measurement accuracy is established using careful null-testing procedures, and has been verified repeatedly through high-resolution imaging. We believe these methods are broadly applicable to the advancement of short-wavelength optical systems including space telescopes, microscope objectives, projection lenses, synchrotron beamline optics, diffractive and holographic optics, and more. Measurements have been performed on a tunable undulator beamline at LBNL's Advanced Light Source (ALS), optimized for high coherent flux; although many of these techniques should be adaptable to alternative ultraviolet, EUV, and soft x-ray light sources. To date, we have measured nine prototype all-reflective EUV optical systems with NA values between 0.08 and 0.30 (f/6.25 to f/1.67). These projection-imaging lenses were created for the semiconductor industry's advanced research in EUV photolithography, a technology slated for introduction in 2009-13. This paper reviews the methods used and our program's accomplishments to date
    corecore