28 research outputs found

    Concept of spatially-divided deep reactive ion etching of si using oxide atomic layer deposition in the passivation cycle

    No full text
    Conventional Deep Reactive Ion Etching (DRIE) is a plasma etch process with alternating half-cycles of 1) Si-etching with SF6 to form gaseous SiFx etch products, and 2) passivation with C4F8 that polymerizes as a protecting fluorocarbon deposit on the sidewalls and bottom of the etched features. In this work we report on a novel alternative and disruptive technology concept of Spatially-divided Deep Reactive Ion Etching, S-DRIE, where the process is converted from the time-divided into the spatially divided regime. The spatial division can be accomplished by inert gas bearing 'curtains' of heights down to ∌20 urn. These curtains confine the reactive gases to individual (often linear) injection slots constructed in a gas injector head. By horizontally moving the substrate back and forth under the head one can realize the alternate exposures to the overall cycle. Another improvement in the spatially divided approach is the replacement of the CVD-based C4F8 passivation steps by ALD-based oxide (e.g. SiO2) deposition cycles. The method can have industrial potential in cost-effective creation of advanced 3D interconnects (TSVs), MEMS manufacturing and advanced patterning, e.g., in nanoscale transistor line edge roughness (LER) using Atomic Layer Etching

    A new concept for spatially divided Deep Reactive Ion Etching with ALD-based passivation

    No full text
    Conventional Deep Reactive Ion Etching (DRIE) is a plasma etch process with alternating half-cycles of 1) Si-etching with SF6 to form gaseous SiFx etch products, and 2) passivation with C4F8 that polymerizes as a protecting fluorocarbon deposit on the sidewalls and bottom of the etched features. In this work we report on a novel alternative and disruptive technology concept of Spatiallydivided Deep Reactive Ion Etching, S-DRIE, where the process is converted from the time-divided into the spatially divided regime. The spatial division can be accomplished by inert gas bearing ‘curtains’ of heights down to 20 ”m. These curtains confine the reactive gases to individual (often linear) injection slots constructed in a gas injector head. By horizontally moving the substrate back and forth under the head one can realize the alternate exposures to the overall cycle. A second improvement in the spatially divided approach is the replacement of the CVD-based C4F8 passivation steps by ALD-based oxide (e.g. SiO2) deposition cycles. The method can have industrial potential in cost-effective creation of advanced 3D interconnects (TSVs), MEMS manufacturing and advanced patterning, e.g., in nanoscale transistor line edge roughness using Atomic Layer Etching

    Technology alternatives towards low-cost and high-speed interconnect manufacturing

    No full text
    In this work we will review our recent work on novel alternative and disruptive technology concepts with industrial potential for cost-effective and high-speed interconnect manufacturing, in particular on the creation (drilling and filling) of advanced interconnects like TSVs. These technologies are 1) Spatially-divided Deep Reactive Ion Etching, S-DRIE, 2) Electrochemical Micromachining, ECM, and 3) Laser-Induced Forward Transfer, LIFT. The first technique will be reviewed in detail, the other two will only shortly be described

    A new concept for spatially divided deep reactive ion etching with ALD based passivation

    No full text
    Conventional Deep Reactive Ion Etching (DRIE) is a plasma etch process with alternating half-cycles of 1) Si-etching with SF6 to form gaseous SiFx etch products, and 2) passivation with C4F8 polymerizing as a protecting fluorocarbon deposit on the sidewalls and bottom of the etched features. We report on a novel alternative and disruptive technology concept of Spatially-divided Deep Reactive Ion Etching, where the process is converted from the time-divided into the spatially divided regime. The spatial division can be accomplished by ~20 to 100 ”m high inert gas-bearing ‘curtains’ that confine the reactive gases to individual (often linear) injection slots constructed in a gas injector head. By horizontally moving the substrate back and forth under the head one can realize the alternate exposures to compose the overall cycle.Next improvement in the spatially-divided approach is the replacement of the CVD-based C4F8 passivation steps by ALD-based oxide (e.g. SiO2) deposition cycles. The method can have industrial potential in cost-effective creation of advanced 3D TSV interconnects and MEMS, but also in advanced patterning of, e.g., nanoscale FinFET devices with reduced line edge roughness, thus approaching Atomic Layer Etching accuracy

    Atmospheric plasma-enhanced spatial-ALD of InZnO for high mobility thin film transistors

    No full text
    In this manuscript, the authors investigate the growth of indium zinc oxide, indium zinc oxide (InZnO, IZO) as a channel material for thin-film transistors. IZO is grown at atmospheric pressure and a high deposition rate using spatial atomic layer deposition (S-ALD). By varying the ratio of diethylzinc and trimethylindium vapor, the In/(In + Zn) ratio of the film can be accurately tuned in the entire range from zinc oxide to indium oxide. Thin film transistors with an In to Zn ratio of 2:1 show high field-effect mobility—exceeding 30 cm2/V s—and excellent stability. The authors demonstrate large scale integration in the form of 19-stage ring oscillators operating at 110 kHz. These electrical characteristics, in combination with the intrinsic advantages of atomic layer deposition, demonstrate the great potential of S-ALD for future display production

    Spatial atmospheric atomic layer deposition of InxGayZnzO for thin film transistors

    No full text
    We have investigated the nucleation and growth of InGaZnO thin films by spatial atmospheric atomic layer deposition. Diethyl zinc (DEZ), trimethyl indium (TMIn), triethyl gallium (TEGa), and water were used as Zn, In, Ga and oxygen precursors, respectively. The vaporized metal precursors have been coinjected in the reactor. The metal composition of InGaZnO has been controlled by varying the TMIn or TEGa flow to the reactor, for a given DEZ flow and exposure time. The morphology of the films changes from polycrystalline, for ZnO and In-doped ZnO, to amorphous for In-rich IZO and InGaZnO. The use of these films as the active channel in TFTs has been demonstrated and the influence of In and Ga cations on the electrical characteristics of the TFTs has been studied
    corecore