157 research outputs found

    Multilayer Phase-Only Diffraction Gratings: Fabrication andApplication to EUV Optics

    Get PDF
    The use of phase-only diffractive devices has long played an important role in advanced optical systems in varying fields. Such devices include gratings, diffractive and holographic optical elements, diffractive lenses, and phase-shift masks for advanced lithography. Extending such devices to the increasingly important regime of extreme ultraviolet (EUV) wavelengths, however, is not trivial. Here, we present an effective fabrication and etch process enabling high-resolution patterning of Mo/Si multilayers for use in EUV phase devices, providing another method for fabrication of high numerical aperture diffractive devices or high-resolution EUV phase shift masks

    Mask roughness induced LER control and mitigation: aberrations sensitivity study and alternate illumination scheme

    Get PDF
    Here we conduct a mask-roughness-induced line-edge-roughness (LER) aberrations sensitivity study both as a random distribution amongst the first 16 Fringe Zernikes (for overall aberration levels of 0.25, 0.50, and 0.75nm rms) as well as an individual aberrations sensitivity matrix over the first 37 Fringe Zernikes. Full 2D aerial image modeling for an imaging system with NA = 0.32 was done for both the 22-nm and 16-nm half-pitch nodes on a rough mask with a replicated surface roughness (RSR) of 100 pm and a correlation length of 32 nm at the nominal extreme-ultraviolet lithography (EUVL) wavelength of 13.5nm. As the ideal RSR value for commercialization of EUVL is 50 pm and under, and furthermore as has been shown elsewhere, a correlation length of 32 nm of roughness on the mask sits on the peak LER value for an NA = 0.32 imaging optic, these mask roughness values and consequently the aberration sensitivity study presented here, represent a worst-case scenario. The illumination conditions were chosen based on the possible candidates for the 22-nm and 16-nm half-pitch nodes, respectively. In the 22-nm case, a disk illumination setting of {sigma} = 0.50 was used, and for the 16-nm case, crosspole illumination with {sigma} = 0.10 at an optimum offset of dx = 0 and dy = .67 in sigma space. In examining how to mitigate mask roughness induced LER, we considered an alternate illumination scheme whereby a traditional dipole's angular spectrum is extended in the direction parallel to the line-and-space mask absorber pattern to represent a 'strip'. While this illumination surprisingly provides minimal improvement to the LER as compared to several alternate illumination schemes, the overall imaging quality in terms of image-log-slope (ILS) and contrast is improved

    Out of band radiation effects on resist patterning

    Get PDF
    Our previous work estimated the expected out-of-band (OOB) flare contribution at the wafer level assuming that there is a given amount of OOB at the collector focus. We found that the OOB effects are wavelength, resist, and pattern dependent. In this paper, results from rigorous patterning evaluation of multiple OOB-exposed resists using the SEMATECH Berkeley 0.3-NA MET are presented. A controlled amount of OOB is applied to the resist films before patterning is completed with the MET. LER and process performance above the resolution limit and at the resolution limits are evaluated and presented. The results typically show a negative impact on LER and process performance after the OOB exposures except in the case of single resist formulation, where resolution and performance improvement was observed
    • …
    corecore