8 research outputs found

    Lithographically Defined Cross-Linkable Top Coats for Nanomanufacturing with High-χ Block Copolymers

    Get PDF
    The directed self-assembly (DSA) of block copolymers (BCPs) is a powerful method for the manufacture of high-resolution features. Critical issues remain to be addressed for successful implementation of DSA, such as dewetting and controlled orientation of BCP domains through physicochemical manipulations at the BCP interfaces, and the spatial positioning and registration of the BCP features. Here, we introduce novel top-coat (TC) materials designed to undergo cross-linking reactions triggered by thermal or photoactivation processes. The cross-linked TC layer with adjusted composition induces a mechanical confinement of the BCP layer, suppressing its dewetting while promoting perpendicular orientation of BCP domains. The selection of areas of interest with perpendicular features is performed directly on the patternable TC layer via a lithography step and leverages attractive integration pathways for the generation of locally controlled BCP patterns and nanostructured BCP multilayers

    Balancing Block Copolymer Thickness over Template Density in Graphoepitaxy Approach

    No full text
    International audienceDirected Self-Assembly (DSA) of Block Copolymers (BCP) is one of the most promising patterning solutions for sub-10 nm nodes. While significant achievements have been demonstrated in pattern fidelity for various applications (contact shrink, line patterning), some challenges still need to be overcome especially regarding the defect density reduction, in order to ensure DSA insertion in high-volume manufacturing. In particular, in the case of the graphoepitaxy approach, a remaining challenge is to solve the pattern-densities-related defect issue due to BCP film thickness variation inside the guiding template. In order to address this issue, a new DSA process flow called "DSA planarization" is employed for contact-hole patterning, and consists in overfilling the guiding pattern cavities with a thick BCP film, followed by a plasma etch-back step. This new approach ensures a uniform control of the final thickness of the BCP inside guiding cavities of different densities, as demonstrated herein by AFM measurement. Thus, defect-free isolated and dense patterns for both contact shrink and multiplication is simultaneously resolved. Furthermore, the simulation results of BCP self-assembly overfilling the templates demonstrate 2 that BCP domains are well directed in vertical cylinders ordering inside guiding cavities, which confirms the experimental results and the viability of this approach

    Precise control of template affinity achieved by UV-assisted graphoepitaxy approach on silicon nanowires applications

    No full text
    International audienceDirected Self Assembly (DSA) of block-copolymers (BCPs) is considered as a cost-effective solution to extend the performances of conventional lithography. In this work, we propose a smart surface modification technique to precisely control the surface affinity of guiding template used in the DSA graphoepitaxy process flows. The presented method consists in the UV irradiation of copolymers brushes in order to locally tune their surface affinity. By this way, we are able to differentiate the surface affinities of guide sidewalls (PMMA-attractive) and guide bottom (non-preferential affinity). A complete DSA-module is demonstrated and implemented on a 300mm integration flow dedicated to the creation of silicon nanowires-like transistor

    Pillars fabrication by DSA lithography: material and process options

    Get PDF
    International audienceWe investigate the fabrication of sub-20 nm pillars by DSA lithography using PS-cylinder-forming PS-b-PMMA block copolymer (BCP). The approach is based on the removal of PMMA-matrix by either dry or wet etching to form PS pillars which act as a soft etching mask that can be further transferred to an intermediate hard one and then to the substrate. The process conditions of BCP self-assembly were optimized in terms of annealing temperature, brush layer composition and film thickness. It was demonstrated that PS/PMMA volume fractions of 50/50 in the PS-r-PMMA brush layer is the most adapted to obtain standing PS cylinders. Top-down SEM images showed a hexagonal array of PS cylinders in a PMMA matrix with a natural period of 33.5 nm (determined by Fast Fourier Transform FFT method) and CD around 15 nm. Both wet and dry etching strategies for PMMA removal were discussed. It was shown that UV exposure followed by organic solvent development is necessary to decrease pattern collapsing during PMMA removal step which is the most critical issue for pillar fabrication. PMMA removal by dry etching was shown to completely avoid this pattern collapsing. Finally, pillars etching transfer to typical organic Si containing antireflective coating and spin on carbon (SiARC/SOC) hard mask and then to the silicon substrate was demonstrated. Si pillars of 15 nm CD and 70 nm height were obtained with a straight profile shape.(c) SPIE 201

    Design of new block-copolymer systems to achieve thick-films with defect-free structures for applications of DSA into lithographic large nodes

    No full text
    Properties of new block copolymers systems, specifically designed to reach large periods for the features, are compared to the ones exhibited by classical PS-b-PMMA materials of same dimensions. Conducted studies, like free-surface defects analysis, mild-plasma tomography experiments, graphoepitaxy-guided structures, etch-transfer. indicate much better performances, in terms of achievable film-thicknesses with perpendicular features, defects levels, and dimensional uniformities, for the new system than for the classical PS-b-PMMA. These results clearly highlight unique and original solutions toward an early introduction of DSA technology into large lithographic nodes

    Multifunctional Top-Coats Strategy for DSA of High-χ Block Copolymers

    No full text
    International audienceA concept of patternable top-coats dedicated to directed self-assembly of high-χ block copolymers is detailed, where the design enables a crosslinking reaction triggered by thermal or photo-activation. Nanostructured BCP areas with controlled domains orientation are selected through a straightforward top-coat lithography step with unique integration pathways. Additionally, the crosslinked nature of the material enables the suppression of the BCP dewetting, while exhibiting exceptional capabilities for the construction of 3D stacks

    Top-coats for scalable nano-manufacturing with high-χ block copolymers in lithographic applications

    No full text
    International audienceResults for the self-assembly of lamellar silicon-containing high-χ BCPs with innovative neutral topcoat design are presented. We demonstrate that these materials and associated processes are compatible with a standard lithographic process, and oriented toward a potential HVM. We show that this dedicated technology is able to guarantee the stability and planarity of the stack even at elevated self-assembly bake temperatures, and opens new opportunity in the fields of 3D BCPs stacks. Finally, we show interesting results for the etch-transfer of a lamellar BCP in silicon
    corecore