41 research outputs found

    Atomic layer deposition of platinum : from surface reactions to nanopatterning

    Get PDF
    Platinum is a material that finds many applications in the fields of nanoelectronics and catalysis due to its catalytic activity, chemical stability, and high work function. The thin film deposition technique of atomic layer deposition (ALD) is gaining increasing interest for the deposition of Pt ultrathin films and nanoparticles, since it is able to deposit on demanding surfaces such as high-aspect-ratio structures and porous materials. In this dissertation, ALD of Pt was studied, aimed at the development of a novel bottom-up nanopatterning approach. Conventional patterning by lithography involves resist-films and lift-off steps that may yield compatibility issues with the envisioned nanoscale building blocks of future nanodevices, e.g. nanowires, carbon nanotubes, and graphene. The main goal was to develop a nanopatterning approach that enables direct and local fabrication of high-quality nanostructures without the need for additional lithography steps. Since ALD film growth depends critically on the properties of the surface, it is possible to chemically tailor the surface properties to achieve area-selective deposition. For the development of the nanopatterning technique, detailed understanding of the surface reactions of the ALD processes of noble metals turned out to be crucial. The reaction mechanism of Pt ALD was studied by evaluating which surface reactions take place at the catalytically active Pt surface during ALD, based on analogous surface reactions reported in surface science literature. This study led to new insights into the surface reactions that take place during the growth, the saturation of the half-reactions, and the temperature dependence of the process. Inspired by the conclusions drawn from the reaction mechanism study, an approach for plasma-assisted ALD at low substrate temperatures was developed. It was demonstrated that this new process enables the deposition of Pt at temperatures down to room temperature. Consequently, the Pt can be deposited on various temperature sensitive substrates such as polymers, textile and paper, which significantly broadens the possibilities for applications of Pt ALD. Furthermore, the nucleation behavior of Pt ALD was studied using spectroscopic ellipsometry and transmission electron microscopy. It was established that the pressure employed during the O2 half-reaction of the ALD process governs the nucleation behavior, which can be exploited for controlling the nucleation of the Pt. This control enables nanoparticle deposition, thin film deposition with minimal nucleation delay, and areaselective ALD for nanopatterning. The developed nanopatterning approach is based on a combination of ALD with electron beam induced deposition (EBID). EBID is a direct-write patterning technique with nanometer scale resolution but its main drawback is that it gives material of poor quality. The newly developed approach comprises the deposition of a thin seed layer by EBID, followed by area-selective ALD. It was established that this so-called direct-write ALD technique yields high-quality Pt material (~100% pure, 12 µOcm), and an enhanced throughput comparable to that of electron beam lithography (EBL), while it allows for patterning of nanoscale line deposits of only ~10 nm in width. To validate whether direct-write ALD is suitable for contacting applications, it was demonstrated that contacts can be patterned on multi- and single-walled carbon nanotubes. Additionally, it was evaluated whether direct-write ALD is a suitable technique for the fabrication of carbon nanotube field effect transistors (CNTFET). CNTFETs were synthesized by patterning of Pt contacts using direct-write ALD on single-walled carbon nanotubes. It was demonstrated by electrical characterization that these devices behave as a p-type transistors. In conclusion, in this work a novel bottom-up nanopatterning approach has been developed that is completely resist-free, and is especially suitable for the patterning of contacts on sensitive nanomaterials. In addition, the reaction mechanisms studies led to atomic level understanding of the surface reactions of Pt ALD, and thereby will contribute to the use of Pt ALD in a wide variety of applications

    Approaches and opportunities for area-selective atomic layer deposition

    No full text
    \u3cp\u3eWith conventional semiconductor fabrication based on top-down processing reaching its limits in terms of patterning resolution and alignment, there is increasing interest in the implementation of bottom-up fabrication steps. In this contribution, several approaches for bottom-up processing by area-selective atomic layer deposition (ALD) will be reviewed, and the application possibilities and the main challenges in the field will be discussed.\u3c/p\u3

    Etching with atomic-level precision:The emerging field of atomic layer etching

    Get PDF
    Following the example of ALD, its etching counterpart atomic layer etching (ALE) is currently being implemented in the semiconductor industry for the fabrication of integrated circuits. ALE enables either anisotropic or isotropic etching with atomic-level precision based on sequential and self-limiting half-reactions. This article describes the basics of ALE and its main characteristics, and briefly reviews the\u3cbr/\u3ecurrent status of the field. An outlook to future research is also provided

    Area-selective atomic layer deposition for bottom-up fabrication of nanoelectronics

    Get PDF
    Ever since Richard Feynman’s lecture on nanotechnology entitled There is plenty of room at the bottom, one of the main challenges in nanoscience has been to develop reliable approaches for bottom-up processing of materials. Despite this vision, the miniaturization of electronics in the past few decades according to Moore’s law relied\u3cbr/\u3ecompletely on innovations in top-down processing. In this article, the alternative of using area-selective ALD for bottom-up fabrication is discussed. The approaches that currently exist for achieving area-selective ALD are reviewed, together with their merits and limitations

    Selective in-situ atomic layer deposition on structures created with EBID

    No full text
    High-purity platinum structures have been grown with atomic-layer deposition (ALD) on very thin seeds made with electron beam-induced deposition (EBID). The ALD growth is selective towards the EBID seeds on the substrate. This approach basically combines the sub-10 nm patterning capability of EBID and the material quality of ALD, and thereby enables the fabrication of high-quality nanostructures with a high lateral resolution. A dual supply line with local injectors can be used to realize ALD growth in the same tool that is used to create the platinum seed layer. Future developments may result in further optimization of the current process as well as in exploration of other material combinations for both the seed layer and the ALD process

    From the bottom-up: toward area-selective atomic layer deposition with high selectivity

    No full text
    \u3cp\u3eBottom-up nanofabrication by area-selective atomic layer deposition (ALD) is currently gaining momentum in semiconductor processing, because of the increasing need for eliminating the edge placement errors of top-down processing. Moreover, area-selective ALD offers new opportunities in many other areas such as the synthesis of catalysts with atomic-level control. This Perspective provides an overview of the current developments in the field of area-selective ALD, discusses the challenge of achieving a high selectivity, and provides a vision for how area-selective ALD processes can be improved. A general cause for the loss of selectivity during deposition is that the character of surfaces on which no deposition should take place changes when it is exposed to the ALD chemistry. A solution is to implement correction steps during ALD involving for example surface functionalization or selective etching. This leads to the development of advanced ALD cycles by combining conventional two-step ALD cycles with correction steps in multistep cycle and/or supercycle recipes.\u3c/p\u3

    Incomplete elimination of precursor ligands during atomic layer deposition of zinc-oxide, tin-oxide, and zinc-tin-oxide

    No full text
    For atomic layer deposition (ALD) of doped, ternary, and quaternary materials achieved by combining multiple binary ALD processes, it is often difficult to correlate the material properties and growth characteristics with the process parameters due to a limited understanding of the underlying surface chemistry. In this work, in situ Fourier transform infrared (FTIR) spectroscopy was employed during ALD of zinc-oxide, tin-oxide, and zinc-tin-oxide (ZTO) with the precursors diethylzinc (DEZ), tetrakis(dimethylamino)tin (TDMASn), and H2O. The main aim was to investigate the molecular basis for the nucleation delay during ALD of ZTO, observed when ZnO ALD is carried out after SnO2 ALD. Gas-phase FTIR spectroscopy showed that dimethylamine, the main reaction product of the SnO2 ALD process, is released not only during SnO2 ALD but also when depositing ZnO after SnO2, indicating incomplete removal of the ligands of the TDMASn precursor from the surface. Transmission FTIR spectroscopy performed during ALD on SiO2 powder revealed that a significant fraction of the ligands persist during both SnO2 and ZnO ALD. These observations provide experimental evidence for a recently proposed mechanism, based on theoretical calculations, suggesting that the elimination of precursor ligands is often not complete. In addition, it was found that the removal of precursor ligands by H2O exposure is even less effective when ZnO ALD is carried out after SnO2 ALD, which likely causes the nucleation delay in ZnO ALD during the deposition of ZTO. The underlying mechanisms and the consequences of the incomplete elimination of precursor ligands are discussed

    Synthesis of doped, ternary, and quaternary materials by atomic layer deposition: a review

    No full text
    In the past decade, atomic layer deposition (ALD) has become an important thin film deposition technique for applications in nanoelectronics, catalysis, and other areas due to its high conformality on 3-D nanostructured substrates and control of the film thickness at the atomic level. The current applications of ALD primarily involve binary metal oxides, but for new applications there is increasing interest in more complex materials such as doped, ternary, and quaternary materials. This article reviews how these multicomponent materials can be synthesized by ALD, gives an overview of the materials that have been reported in the literature to date, and discusses important challenges. The most commonly employed approach to synthesize these materials is to combine binary ALD cycles in a supercycle, which provides the ability to control the composition of the material by choosing the cycle ratio. Discussion will focus on four main topics: (i) the characteristics, benefits, and drawbacks of the approaches that currently exist for the synthesis of multicomponent materials, with special attention to the supercycle approach; (ii) the trends in precursor choice, process conditions, and characterization methods, as well as underlying motivations for these design decisions; (iii) the distribution of atoms in the deposited material and the formation of specific (crystalline) phases, which is shown to be dependent on the ALD cycle sequence, deposition temperature, and post-deposition anneal conditions; and (iv) the nucleation effects that occur when switching from one binary ALD process to another, with different explanations provided for why the growth characteristics often deviate from what is expected. This paper provides insight into how the deposition conditions (cycle sequence, temperature, etc.) affect the properties of the resultant thin films, which can serve as a guideline for designing new ALD processes. Furthermore, with an extensive discussion on the nucleation effects taking place during the growth of ternary materials, we hope to contribute to a better understanding of the underlying mechanisms of the ALD growth of multicomponent materials

    Jaarringen

    No full text
    Geen samenvatting

    Method for forming microscopic structures on a substrate

    Get PDF
    The invention relates to a method for forming microscopic structures. By scanning a focused particle beam over a substrate in the presence of a precursor fluid, a patterned seed layer is formed. By now growing this layer with Atomic Layer Deposition or Chemical Vapour Deposition, a high quality layer can be grown. An advantage of this method is that forming the seed layer takes relatively little time, as only a very thin layer needs to be deposited
    corecore