9 research outputs found

    On the possibility of obtaining MOSFET-like performance and sub-60 mV/decade swing in 1D broken-gap tunnel transistors

    Full text link
    Tunneling field-effect transistors (TFETs) have gained a great deal of recent interest due to their potential to reduce power dissipation in integrated circuits. One major challenge for TFETs so far has been achieving high drive currents, which is a prerequisite for high-performance operation. In this paper we explore the performance potential of a 1D TFET with a broken-gap heterojunction source injector using dissipative quantum transport simulations based on the nonequilibrium Green's function formalism, and the carbon nanotube bandstructure as the model 1D material system. We provide detailed insights into broken-gap TFET (BG-TFET) operation, and show that it can indeed produce less than 60mV/decade subthreshold swing at room temperature even in the presence of electron-phonon scattering. The 1D geometry is recognized to be uniquely favorable due to its superior electrostatic control, reduced carrier thermalization rate, and beneficial quantum confinement effects that reduce the off-state leakage below the thermionic limit. Because of higher source injection compared to staggered-gap and homojunction geometries, BG-TFET delivers superior performance that is comparable to MOSFET's. BG-TFET even exceeds the MOSFET performance at lower supply voltages (VDD), showing promise for low-power/high-performance applications.Comment: 34 pages, 11 figure

    Design and Analysis of Robust Low Voltage Static Random Access Memories.

    Full text link
    Static Random Access Memory (SRAM) is an indispensable part of most modern VLSI designs and dominates silicon area in many applications. In scaled technologies, maintaining high SRAM yield becomes more challenging since they are particularly vulnerable to process variations due to 1) the minimum sized devices used in SRAM bitcells and 2) the large array sizes. At the same time, low power design is a key focus throughout the semiconductor industry. Since low voltage operation is one of the most effective ways to reduce power consumption due to its quadratic relationship to energy savings, lowering the minimum operating voltage (Vmin) of SRAM has gained significant interest. This thesis presents four different approaches to design and analyze robust low voltage SRAM: SRAM analysis method improvement, SRAM bitcell development, SRAM peripheral optimization, and advance device selection. We first describe a novel yield estimation method for bit-interleaved voltage-scaled 8-T SRAMs. Instead of the traditional trade-off between write and read, the trade-off between write and half select disturb is analyzed. In addition, this analysis proposes a method to find an appropriate Write Word-Line (WWL) pulse width to maximize yield. Second, low leakage 10-T SRAM with speed compensation scheme is proposed. During sleep mode of a sensor application, SRAM retaining data cannot be shut down so it is important to minimize leakage in SRAM. This work adopts several leakage reduction techniques while compensating performance. Third, adaptive write architecture for low voltage 8-T SRAMs is proposed. By adaptively modulating WWL width and voltage level, it is possible to achieve low power consumption while maintaining high yield without excessive performance degradation. Finally, low power circuit design based on heterojunction tunneling transistors (HETTs) is discussed. HETTs have a steep subthreshold swing beneficial for low voltage operation. Device modeling and design of logic and SRAM are proposed.Ph.D.Electrical EngineeringUniversity of Michigan, Horace H. Rackham School of Graduate Studieshttp://deepblue.lib.umich.edu/bitstream/2027.42/91569/1/daeyeonk_1.pd

    Variation-tolerant ultra low-power heterojunction tunnel FET SRAM design

    Full text link

    Power Modeling and Optimization for GPGPUs

    Get PDF
    Modern graphics processing units (GPUs) supports tens of thousands of parallel threads and delivers remarkably high computing throughput. General-Purpose computing on GPUs (GPGPUs) is becoming the attractive platform for general-purpose applications that request high computational performance such as scientific computing, financial applications, medical data processing, and so on. However, GPGPUs is facing severe power challenge due to the increasing number of cores placed on a single chip with decreasing feature size. In order to explore the power optimization techniques in GPGPUs, I first build a power model for GPGPUs, which is able to estimate both dynamic and leakage power of major microarchitecture structures in GPGPUs. I then target on the power-hungry structures (e.g. register file) to explore the energy-efficient GPGPUs. In order to hide the long latency operations, GPGPUs employs the fine-grained multi-threading among numerous active threads, leading to the sizeable register files with massive power consumption. The conventional method to reduce dynamic power consumption is the supply voltage scaling. And the inter-bank tunneling FETs (TFETs) is the promising candidate compared to CMOS for low voltage operations regarding to both leakage and performance. However, always executing at the low voltage will result in significant performance degradation. In this study, I propose the hybrid CMOS-TFET based register file and allocate TFET-based registers to threads whose execution progress can be delayed to some degree to avoid the memory contentions with other threads to reduce both dynamic and leakage power, and the CMOS-based registers are still used for threads requiring normal execution speed. My experimental results show that the proposed technique achieves 30% energy (including both dynamic and leakage) reduction in register files with negligible performance degradation compared to the baseline case equipped with naive power optimization technique

    CMOS ์†Œ์ž์™€ ํ•จ๊ป˜ ์“ธ ์ˆ˜ ์žˆ๋Š” ์‹ค๋ฆฌ์ฝ˜ ๋‚˜๋…ธ์„  ํ„ฐ๋„๋ง ์ „๊ณ„ํšจ๊ณผ ํŠธ๋žœ์ง€์Šคํ„ฐ

    Get PDF
    ํ•™์œ„๋…ผ๋ฌธ (๋ฐ•์‚ฌ)-- ์„œ์šธ๋Œ€ํ•™๊ต ๋Œ€ํ•™์› : ์ „๊ธฐยท์ปดํ“จํ„ฐ๊ณตํ•™๋ถ€, 2013. 8. ๋ฐ•๋ณ‘๊ตญ.ํ–ฅํ›„ CMOS ๊ธฐ์ˆ ์˜ ์ถ•์†Œํ™”์— ๋”ฐ๋ฅธ ์†Œ์ž์˜ ์†Œ๋น„์ „๋ ฅ์ฆ๊ฐ€ ๋ฌธ์ œ๋ฅผ ํ•ด๊ฒฐํ•˜๊ณ  0.5 V ์ดํ•˜์˜ ๋™์ž‘์ „์••์œผ๋กœ๋„ ์ €์ „๋ ฅ ๋™์ž‘ํ•˜๋Š” ์Šค์œ„์นญ ์†Œ์ž๋ฅผ ๊ฐœ๋ฐœํ•˜๊ธฐ ์œ„ํ•ด, ๊ธฐ์กด CMOS ๊ธฐ์ˆ ์„ ๋ณ€ํ˜•ํ•œ ์ง‘์ ๋ฐฉ๋ฒ•์œผ๋กœ ์‹ค๋ฆฌ์ฝ˜ ๋‚˜๋…ธ์™€์ด์–ด ๋ฐด๋“œ๊ฐ„-ํ„ฐ๋„๋ง ์ „๊ณ„ํšจ๊ณผ ํŠธ๋žœ์ง€์Šคํ„ฐ(band-to-band tunneling field-effect transistorTFET)์™€ ๊ธˆ์†-์‚ฐํ™”๋ง‰-๋ฐ˜๋„์ฒด ์ „๊ณ„ํšจ๊ณผ ํŠธ๋žœ์ง€์Šคํ„ฐ(metal-oxide-semiconductor field-effect transistorMOSFET)๋ฅผ ๋™์‹œ์ง‘์ ํ•˜๊ณ  ์†Œ์ž๋กœ์จ ๋™์ž‘์„ ํ™•์ธํ•˜์˜€๋‹ค. TFET์€ ๋™์ž‘์›๋ฆฌ์ƒ ์†Œ์Šค ์˜์—ญ์˜ ์—๋„ˆ์ง€์˜ ์ƒํ•œ ๊ฐ’์ด ์ œํ•œ๋˜์–ด ์žˆ๋Š” ๊ฐ€์ „์ž๋Œ€์˜ ์ „์ž๊ฐ€ ํ„ฐ๋„๋ง์— ์˜ํ•ด ์บ๋ฆฌ์–ด๊ฐ€ ์ฑ„๋„๋กœ ์ฃผ์ž…๋˜๋ฏ€๋กœ ์ƒ์˜จ์—์„œ MOSFET ๋ณด๋‹ค ํ›จ์”ฌ ์ž‘์€ ๊ฒŒ์ดํŠธ ์ „์••๋ณ€ํ™”๋กœ ์†Œ์ž๋ฅผ ์ผค ์ˆ˜ ์žˆ๋Š” ํŠน์ง•์ด ์žˆ๋‹ค. ์ด ์†Œ์ž์—์„œ ํ„ฐ๋„๋ง์„ ์ด‰์ง„ํ•˜๋ ค๋ฉด ์†Œ์Šค/์ฑ„๋„๊ฐ„ ์ ‘ํ•ฉ์„ ์ ์€ ๊ณตํ•์—๋„ ์‰ฝ๊ฒŒ ์ผค ์ˆ˜ ์žˆ์–ด์•ผ ํ•˜๋ฏ€๋กœ ๊ฒŒ์ดํŠธ ์‚ฐํ™”๋ง‰์˜ ๋‘๊ป˜, ๋‚˜๋…ธ์™€์ด์–ด์˜ ์„ ํญ, ์ธก๋ฒฝ ์ŠคํŽ˜์ด์„œ์˜ ํญ์ด ์ž‘์•„์•ผ ํ•˜๊ณ  ์ ‘ํ•ฉ์ฃผ๋ณ€์—์„œ ๋ถˆ์ˆœ๋ฌผ ๋†๋„๊ฐ€ ๊ธ‰๊ฒฉํžˆ ๋ณ€ํ•ด์•ผ ํ•จ์„ ์ด๋ก ์ ์ธ TCAD ์‹œ๋ฎฌ๋ ˆ์ด์…˜ ์—ฐ๊ตฌ๋ฅผ ํ†ตํ•ด ์•Œ ์ˆ˜ ์žˆ์—ˆ๋‹ค. ์ด๋ก ์  ์—ฐ๊ตฌ๋ฅผ ๋ฐ”ํƒ•์œผ๋กœ, ์†Œ์Šค์™€ ๋“œ๋ ˆ์ธ์˜ ๊ทน์„ฑ์ด ์„œ๋กœ ๋‹ค๋ฅธ TFET์„ ์ง‘์ ํ•˜๊ธฐ ์œ„ํ•ด ๊ธฐ์กด์˜ CMOS ์ง‘์ ๋ฐฉ๋ฒ•์— ์ž๊ฐ€์ •๋ ฌ ๋น„๋Œ€์นญ ์†Œ์Šค/๋“œ๋ ˆ์ธ์„ ํ˜•์„ฑํ•˜๋Š” ์ง‘์ ๋ฐฉ๋ฒ• (integration scheme for self-aligned asymmetric source/drain)์„ ์ถ”๊ฐ€ํ•˜์—ฌ MOSFET๊ณผ TFET์„ SOI ๊ธฐํŒ ์œ„์— ๋™์‹œ์ง‘์  ํ•˜์˜€๋‹ค. ์ตœ์ ํ™”๋œ ์ „์ž์„  ๋ฆฌ์†Œ๊ทธ๋ž˜ํ”ผ๊ณต์ • (electron-beam lithography)๊ณผ ์ƒˆ๋กœ ๊ฐœ๋ฐœํ•œ ํ™”ํ•™์  ์ฝ”๋„ˆ ๋ผ์šด๋”ฉ (chemical corner-rounding) ๋ฐฉ๋ฒ•์„ ์ ์šฉํ•˜์—ฌ ์ตœ์†Œ ์„ ํญ 14.5 nm์˜ ๋ฐ˜์‹ค๋ฆฐ๋”ํ˜• ๋‚˜๋…ธ์™€์ด์–ด๋ฅผ ์„ฑ๊ณต์ ์œผ๋กœ ํ˜•์„ฑํ•˜์˜€๋‹ค. ์ธก๋ฒฝ ์ŠคํŽ˜์ด์„œ๋Š” ์งˆํ™”๋ง‰๊ณผ ์‚ฐํ™”๋ง‰์œผ๋กœ ํญ 20 nm๋กœ ํ˜•์„ฑํ•˜์—ฌ, ์•กํ‹ฐ๋ธŒ ์˜์—ญ์˜ ์†์ƒ์„ ์ตœ์†Œํ™”ํ•˜์—ฌ ์ž๊ฐ€์ •๋ ฌ๋œ ๋‹ˆ์ผˆ ์‹ค๋ฆฌ์‚ฌ์ด๋“œ (self-aligned nickel silicide)๋ฅผ ํ˜•์„ฑํ•˜๋Š”๋ฐ ๋ฌธ์ œ๊ฐ€ ์—†๋„๋ก ํ•˜๋ฉด์„œ๋„ ์ธก๋ฒฝ ์ŠคํŽ˜์ด์„œ์˜ ์„ ํญ์„ ์ตœ์†Œํ™”ํ•  ์ˆ˜ ์žˆ๋„๋ก ํ•˜์˜€๋‹ค. ์ ‘ํ•ฉ์˜ ๋†๋„๊ฐ€ ๊ธ‰๋ณ€ํ•˜๊ฒŒ ํ•˜๊ธฐ ์œ„ํ•ด์„œ ๋‹ˆ์ผˆ ์‹ค๋ฆฌ์‚ฌ์ด๋“œ์— ์˜ํ•œ ์Šค๋…ธํ”Œ๋ผ์šฐ ํšจ๊ณผ (snowploughing effect)๋ฅผ ์ด์šฉํ•˜์˜€๋‹ค. ์ด๋ฅผ ํ†ตํ•ด ๊ฒŒ์ดํŠธ ๊ธธ์ด 1 ฮผm์ธ ์žฅ์ฑ„๋„ TFET๊ณผ 150 ~ 28 nm์˜ ๋‹จ์ฑ„๋„ TFET๋“ค์ด ์ž๊ธฐ์ •๋ ฌ ๋ฐฉ์‹์œผ๋กœ ์„ฑ๊ณต์ ์œผ๋กœ ์ œ์ž‘๋˜์—ˆ๋‹ค. ์ œ์ž‘๋œ ์†Œ์ž์˜ ์ธก์ •๊ฒฐ๊ณผ n+/์ง„์„ฑ ๊ฒฝ๊ณ„๊ฐ€ p+/์ง„์„ฑ ๊ฒฝ๊ณ„์—์„œ๋ณด๋‹ค ๋ถˆ์ˆœ๋ฌผ ๋†๋„๊ฐ€ ๋” ๊ธ‰๊ฒฉํ•˜๋„๋ก ๋งŒ๋“ค์–ด์ง„ ๊ฒƒ์„ ํ™•์ธํ•˜์˜€๊ณ , ๋”ฐ๋ผ์„œ TFET์€ p-์ฑ„๋„ ๋ชจ๋“œ๋กœ ๋” ์ž˜ ๋™์ž‘ํ•˜์˜€๋‹ค. ์žฅ์ฑ„๋„์†Œ์ž์—์„œ๋Š” ์ˆœ๊ฐ„๊ธฐ์šธ๊ธฐ ๊ธฐ์ค€ 47 mV/decade ๋กœ ๋™์ž‘ํ•˜๋Š” ์†Œ์ž๊ฐ€ ๋งŒ๋“ค์–ด์ง„ ๊ฒƒ์„ ํ™•์ธํ•˜์˜€์œผ๋ฉฐ, ๋‹จ์ฑ„๋„ TFET์œผ๋กœ๋Š” MOSFET์˜ ๊ฒฝ์šฐ์ฒ˜๋Ÿผ ์ฑ„๋„๊ธธ์ด๊ฐ€ ์งง์•„์งˆ ์ˆ˜๋ก ๊ฒŒ์ดํŠธ์˜ ์ฑ„๋„์ œ์–ด๋Šฅ๋ ฅ์ด ๋‚˜๋น ์ง€๋Š” ๋‹จ์ฑ„๋„ํšจ๊ณผ๊ฐ€ TFET์—๋„ ์กด์žฌํ•จ์„ ํ™•์ธํ•˜์˜€๋‹ค. ๋‹จ์ฑ„๋„ํšจ๊ณผ๋Š” ๋‚˜๋…ธ์™€์ด์–ด์˜ ์„ ํญ์ด ์ž‘์•„์ง„ ๊ฒฝ์šฐ ๊ฐ์†Œํ•˜์˜€๋Š”๋ฐ ๋‚˜๋…ธ์™€์ด์–ด ์„ ํญ 19.5 nm์ด๊ณ  ๊ฒŒ์ดํŠธ ์„ ํญ์ด 109 nm์ธ ์†Œ์ž์—์„œ 62 mV/decade์˜ ์–‘ํ˜ธํ•œ TFET ๋™์ž‘ํŠน์„ฑ์„ ๋ณด์˜€๋‹ค. ์ด์™€ ๋”๋ถˆ์–ด ์ฑ„๋„๋ฐฉํ–ฅ์— ๋”ฐ๋ฅธ ์†Œ์ž ํŠน์„ฑ๊ฐœ์„  ๊ฐ€๋Šฅ์„ฑ๊ณผ ๋ฐ˜์‹ค๋ฆฐ๋”ํ˜• ์ฑ„๋„๊ตฌ์กฐ๋ฅผ ์ด์šฉํ•œ ๊ธฐํŒ์ „์••์— ์˜ํ•œ ์†Œ์žํŠน์„ฑ ์กฐ์ ˆ์— ๋Œ€ํ•œ ๊ฐ€๋Šฅ์„ฑ์„ ์ œ์ž‘๋œ ์†Œ์ž๋กœ๋ถ€ํ„ฐ ๊ฒ€ํ† ํ•˜์˜€๋‹ค. ์ด์ƒ์˜ ๊ฒฐ๊ณผ์—์„œ ๊ธฐ์กด CMOS ๊ธฐ์ˆ ์„ ๋ณ€ํ˜•ํ•˜๋Š” ๋ฐฉ์‹์œผ๋กœ MOSFET๊ณผ TFET์„ ๋™์‹œ์ง‘์ ํ•จ์œผ๋กœ์จ ์ข€ ๋” ์–‘์‚ฐ๊ฐ€๋Šฅ์„ฑ ๋†’์€ TFET ์ œ์ž‘ ๋ฐฉ๋ฒ•์„ ์ œ์‹œ ํ•˜์˜€์œผ๋ฉฐ ํ–ฅํ›„ MOSFET-TFET ํ˜ผ์„ฑํšŒ๋กœ๋ฅผ ์ด์šฉํ•œ ๋ฏธ๋ž˜ CMOS ํšŒ๋กœ์˜ ์ €์ „๋ ฅํ™” ๊ฐ€๋Šฅ์„ฑ์„ ์ œ์‹œํ•˜์˜€๋‹ค.In order to develop practical low-power switching devices operating at a voltage below 0.5 V and solve the power density problem of highly-scaled CMOS technology, the silicon nanowire band-to-band tunneling field-effect transistors (TFETs) and conventional metal-oxide-semiconductor field-effect transistors (MOSFETs) are co-integrated with a modified CMOS flow and their electrical functionalities are confirmed. Since the carrier injection in a TFET system occurs by tunneling of valence-band electrons, it can operate without leakage issue and the gate bias swing to switch the device can be very small. In spite of the fancy operating principles, however, the replacement of MOSFETs with TFETs is not likely to happen in near future. This is because the difference of source/drain (S/D) polarities and current-flow directionality issues make TFETs not suitable for the existing MOSFET-based CMOS circuits but demanding new circuit topologies. Therefore the TFETs that can be co-integrated with MOSFETs are selected as the topic of this work. From the theoretical study with a TCAD device simulator, the gate insulator thickness, nanowire width, abruptness of doping profile near the source/channel boundary and the design of sidewall spacer width are found to be critical to reducing the tunneling barrier width to increase the current. Design concepts learned from TCAD simulation studies are implemented to fabrication with a novel integration scheme for self-aligned asymmetric S/D. By inserting the process steps to form the asymmetric S/D to the conventional CMOS process flow, MOSFETs and TFETs are successfully co-integrated on the same silicon-on-insulator substrate. Through newly developed and optimized processes such as reduced-repulsion electron-beam lithography, chemical corner-rounding, tight sidewall spacer etch, and two-step self-aligned nickel silicide process, the TFETs with L = 1 ฮผm ~ 28 nm, minimum W = 14.5 nm, 20 nm dual sidewall spacer, and dopant-segregated steep doping profile are successfully fabricated. From the electrical measurement of gate-induced drain leakage (GIDL) of the co-integrated MOSFETs, the impurity profile near the n+/intrinsic boundary is found to be much more abrupt than near p+/intrinsic boundary. Therefore, the fabricated TFETs operate better as a p-channel device rather than n-channel device. The long-channel device shows good switching characteristics of 47 mV/decade. Short-channel effect similar to that of MOSFETs is experimentally observed for TFETs. It is also demonstrated that the short-channel effect can be reduced by improving electrostatics, with a thinner-nanowire device with L = 109 nm. Substrate-bias controllability of TFETs and its extension to nanowire TFETs are examined in the comparison with MOSFETs. Possibilities to improve current drivability by controlling tunneling process with the channel direction are explored with both planar and nanowire TFETs. From this study, it is demonstrated that TFETs can be co-integrated with CMOS devices in a more manufacturable way by introducing a self-aligned integration scheme to conventional process flow. This work also opens a possibility of a new low-power design technology using MOSFET/TFET hybrid circuits.Chapter 1 Introduction 1 1.1 Origin of Power Crisis in CMOS Technologies .......................... 1 1.2 Tunneling Field-Effect Transistors (TFETs) ............................... 3 1.3 Replacer or Complementor? ....................................................... 5 1.4 Previous Studies .......................................................................... 7 1.5 Thesis Outline ............................................................................. 9 Chapter 2 Theoretical Studies 11 2.1 Basic Operations of TFETs ....................................................... 11 2.2 Nanowire TFETs ...................................................................... 15 2.3 Device Design Factors and Variability ..................................... 17 2.4 Operation Range Where TFETs Beat MOSFETs ..................... 25 2.5 Summary of the Target Device ................................................. 27 Chapter 3 Device Fabrication 29 3.1 Key Process Designs and Fabrication Flow ............................. 29 3.2 Patterning and Rounding of Nanowire Active Regions ........... 31 3.3 Self-aligned Formation of Gate and Asymmetric S/D Regions 35 3.4 Sidewall Spacer and Self-aligned Silicide Processes................ 38 Chapter 4 Device Characteristics 42 4.1 Fabricated Metal-Oxide-Semiconductor Capacitor ................. 42 4.2 Junction Properties of Co-Integrated MOSFETs ...................... 44 4.3 Transfer and Output Characteristics of TFETs ......................... 48 4.4 Device Properties with Design Partitionings ........................... 54 4.4.1 Active Width .............................................................. 54 4.4.2 Channel Length .......................................................... 55 4.4.3 Channel Direction ...................................................... 60 4.4.4 Back-Gate Effect ........................................................ 64 โ€ƒ Chapter 5 Conclusions 67 5.1 Conclusions .............................................................................. 67 5.2 Suggestions for Future Work .................................................. 69 Bibliography....................................................................... 71 Appendixes ......................................................................... 82 Abstract in Korean ............................................................ 87 Acknowledgements ............................................................ 90Docto

    Low power circuit design based on heterojunction tunneling transistors (HETTs)

    No full text
    The theoretical lower limit of subthreshold swing in MOSFETs (60 mV/decade) significantly restricts low voltage operation since it results in a low ON to OFF current ratio at low supply voltages. This paper investigates extremely-low power circuits based on new Si/SiGe HEterojunction Tunneling Transistors (HETTs) that have subthreshold swing < 60 mV/decade. Device characteristics as determined through Technology Computer Aided Design (TCAD) tools are used to develop a Verilog-A device model to simulate and evaluate a range of HETT-based circuits. We show that a HETT-based ring oscillator (RO) shows a 9โˆ’19X reduction in dynamic power compared to a CMOS RO. We also explore two key differences between HETTs and traditional MOSFETs, namely asymmetric current flow and increased Miller capacitance, analyzing their effect on circuit behavior and proposing methods to address them. Finally, HETT characteristics have the most dramatic impact on SRAM operation and hence we propose a novel 7-transistor HETT-based SRAM cell topology to overcome, and take advantage of, the asymmetric current flow. This new HETT SRAM design achieves 7โˆ’37X reduction in leakage power compared to CMOS

    Simulation of Double-Gate Silicon Tunnel FETs with a High-k Gate Dielectric

    Get PDF
    The down-scaling of conventional MOSFETs has led to an impending power crisis, in which static power consumption is becoming too high. In order to improve the energy-efficiency of electronic circuits, small swing switches are interesting candidates to replace or complement the MOSFETs used today. Tunnel FETs, which are gated p-i-n diodes whose on-current arises from band-to-band tunneling, are attractive new devices for low-power applications due to their low off-current and their potential for a small subthreshold swing. The numerical simulations presented in this thesis have been carried out using a non-local band-to-band tunneling model in Silvaco Atlas. Numerical simulations based on correct underlying models are important for emerging devices, since they can provide insights about optimization before fabrication is carried out, can aid the understanding of device physics through 1D and 2D cross sections, and can be the basis for the formation of an accurate compact model. In general, only CMOS-compatible materials and structures have been used in the Tunnel FET designs presented here. One goal of this thesis was to stay within the framework of what is possible in standard industrial nanoelectronics cleanrooms today, without requiring processes whose mastery lies many years in the future. For this reason, the focus of this thesis is on all-silicon devices, and heterostructures that incorporate other materials are only mentioned. In chapter three, the optimization of the static characteristics of a Tunnel FET is carried out, looking at gate structure (single or double), doping levels of each device region, gate dielectric permittivity, and silicon body thickness. A study of the reduction of the band gap at the tunnel junction is also presented, showing the resulting improvement in on-current and subthreshold swing. Chapter four introduces a new method for threshold voltage extraction in Tunnel FETs. This method has one key advantage over the commonly-used constant current threshold voltage extraction technique: it has a physical meaning. The transconductance method, which has already been used for conventional MOSFETs, pinpoints the Tunnel FET voltage at which the transition from strong control to weak control of the tunneling energy barrier width, and therefore the on-current, takes place. This is analogous to the threshold voltage in a conventional MOSFET which marks the transition from weak inversion to strong inversion at ฯ†s=2ฯ†F. It is found that Tunnel FETs have two threshold voltages, one in relation to the gate voltage, and the second in relation to the drain voltage, and each depends on the voltage applied at the opposite terminal. A length scaling study is carried out in chapter five, demonstrating the scaling limits of Tunnel FETs at gate lengths on the order of 10-20 nm, due to p-i-n diode leakage current that degrades the off-current. Tunnel FETs designed to have better electrostatic control of the tunnel junction by the gate can scale further before they hit this diode leakage limit at some small gate length. Chapter six presents an additive booster strategy for Tunnel FET optimization, and then uses the resulting optimized device as the basis of a parameter variation study. Here, one parameter is varied at a time, and the effects on the important characteristics (subthreshold swing, threshold voltage, and on-current) are evaluated. The parameters requiring the most control during fabrication are identified. Since Tunnel FETs are emerging devices, the most important future work will be to fabricate fully-optimized n- and p-type devices, and to develop accurate compact models for their incorporation into circuits

    Compact Models for Integrated Circuit Design

    Get PDF
    This modern treatise on compact models for circuit computer-aided design (CAD) presents industry standard models for bipolar-junction transistors (BJTs), metal-oxide-semiconductor (MOS) field-effect-transistors (FETs), FinFETs, and tunnel field-effect transistors (TFETs), along with statistical MOS models. Featuring exercise problems at the end of each chapter and extensive references at the end of the book, the text supplies fundamental and practical knowledge necessary for efficient integrated circuit (IC) design using nanoscale devices. It ensures even those unfamiliar with semiconductor physics gain a solid grasp of compact modeling concepts
    corecore