2,936 research outputs found

    Design and Development of an Affordable Haptic Robot with Force-Feedback and Compliant Actuation to Improve Therapy for Patients with Severe Hemiparesis

    Get PDF
    The study describes the design and development of a single degree-of-freedom haptic robot, Haptic Theradrive, for post-stroke arm rehabilitation for in-home and clinical use. The robot overcomes many of the weaknesses of its predecessor, the TheraDrive system, that used a Logitech steering wheel as the haptic interface for rehabilitation. Although the original TheraDrive system showed success in a pilot study, its wheel was not able to withstand the rigors of use. A new haptic robot was developed that functions as a drop-in replacement for the Logitech wheel. The new robot can apply larger forces in interacting with the patient, thereby extending the functionality of the system to accommodate low-functioning patients. A new software suite offers appreciably more options for tailored and tuned rehabilitation therapies. In addition to describing the design of the hardware and software, the paper presents the results of simulation and experimental case studies examining the system\u27s performance and usability

    Affordance of vibrational excitation for music composition and performance

    Get PDF
    Mechanical vibrations have typically been used in the performance domain within feedback systems to inform musicians of system states or as communication channels between performers. In this paper, we propose the addi- tional taxonomic category of vibrational excitation of mu- sical instruments for sound generation. To explore the va- riety of possibilities associated with this extended taxon- omy, we present the Oktopus, a multi-purpose wireless sys- tem capable of motorised vibrational excitation. The sys- tem can receive up to eight inputs and generates vibrations as outputs through eight motors that can be positioned ac- cordingly to produce a wide range of sounds from an ex- cited instrument. We demonstrate the usefulness of the proposed system and extended taxonomy through the de- velopment and performance of Live Mechanics, a compo- sition for piano and interactive electronics

    VHDL-based system design of a cognitive sensorimotor loop (CSL) for haptic Human-Machine Interaction (HMI)

    Full text link
    This document is a summary of the Bachelor thesis titled “VHDL-Based System Design of a Cognitive Sensorimotor Loop (CSL) for Haptic Human-Machine Interaction (HMI)” written by Pablo de Miguel Morales, Electronics Engineering student at the Universidad Politécnica de Madrid (UPM Madrid, Spain) during an Erasmus+ Exchange Program at the Beuth Hochschule für Technik (BHT Berlin, Germany). The tutor of this project is Dr. Prof. Hild. This project has been developed inside the Neurobotics Research Laboratory (NRL) in close collaboration with Benjamin Panreck, a member of the NRL, and another exchange student from the UPM Pablo Gabriel Lezcano. For a deeper comprehension of the content of the thesis, a deeper look in the document is needed as well as the viewing of the videos and the VHDL design. In the growing field of automation, a large amount of workforce is dedicated to improve, adapt and design motor controllers for a wide variety of applications. In the specific field of robotics or other machinery designed to interact with humans or their environment, new needs and technological solutions are often being discovered due to the existing, relatively unexplored new scenario it is. The project consisted of three main parts: Two VHDL-based systems and one short experiment on the haptic perception. Both VHDL systems are based on a Cognitive Sensorimotor Loop (CSL) which is a control loop designed by the NRL and mainly developed by Dr. Prof. Hild. The CSL is a control loop whose main characteristic is the fact that it does not use any external sensor to measure the speed or position of the motor but the motor itself. The motor always generates a voltage that is proportional to its angular speed so it does not need calibration. This method is energy efficient and simplifies control loops in complex systems. The first system, named CSL Stay In Touch (SIT), consists in a one DC motor system controller by a FPGA Board (Zynq ZYBO 7000) whose aim is to keep contact with any external object that touches its Sensing Platform in both directions. Apart from the main behavior, three features (Search Mode, Inertia Mode and Return Mode) have been designed to enhance the haptic interaction experience. Additionally, a VGA-Screen is also controlled by the FPGA Board for the monitoring of the whole system. This system has been completely developed, tested and improved; analyzing its timing and consumption properties. The second system, named CSL Fingerlike Mechanism (FM), consists in a fingerlike mechanical system controlled by two DC motors (Each controlling one part of the finger). The behavior is similar to the first system but in a more complex structure. This system was optional and not part of the original objectives of the thesis and it could not be properly finished and tested due to the lack of time. The haptic perception experiment was an experiment conducted to have an insight into the complexity of human haptic perception in order to implement this knowledge into technological applications. The experiment consisted in testing the capability of the subjects to recognize different objects and shapes while being blindfolded and with their ears covered. Two groups were done, one had full haptic perception while the other had to explore the environment with a plastic piece attached to their finger to create a haptic handicap. The conclusion of the thesis was that a haptic system based only on a CSL-based system is not enough to retrieve valuable information from the environment and that other sensors are needed (temperature, pressure, etc.) but that a CSL-based system is very useful to control the force applied by the system to interact with haptic sensible surfaces such as skin or tactile screens. RESUMEN. Este documento es un resumen del proyecto fin de grado titulado “VHDL-Based System Design of a Cognitive Sensorimotor Loop (CSL) for Haptic Human-Machine Interaction (HMI)” escrito por Pablo de Miguel, estudiante de Ingeniería Electrónica de Comunicaciones en la Universidad Politécnica de Madrid (UPM Madrid, España) durante un programa de intercambio Erasmus+ en la Beuth Hochschule für Technik (BHT Berlin, Alemania). El tutor de este proyecto ha sido Dr. Prof. Hild. Este proyecto se ha desarrollado dentro del Neurorobotics Research Laboratory (NRL) en estrecha colaboración con Benjamin Panreck (un miembro del NRL) y con Pablo Lezcano (Otro estudiante de intercambio de la UPM). Para una comprensión completa del trabajo es necesaria una lectura detenida de todo el documento y el visionado de los videos y análisis del diseño VHDL incluidos en el CD adjunto. En el creciente sector de la automatización, una gran cantidad de esfuerzo está dedicada a mejorar, adaptar y diseñar controladores de motor para un gran rango de aplicaciones. En el campo específico de la robótica u otra maquinaria diseñada para interactuar con los humanos o con su entorno, nuevas necesidades y soluciones tecnológicas se siguen desarrollado debido al relativamente inexplorado y nuevo escenario que supone. El proyecto consta de tres partes principales: Dos sistemas basados en VHDL y un pequeño experimento sobre la percepción háptica. Ambos sistemas VHDL están basados en el Cognitive Sesnorimotor Loop (CSL) que es un lazo de control creado por el NRL y cuyo desarrollador principal ha sido Dr. Prof. Hild. El CSL es un lazo de control cuya principal característica es la ausencia de sensores externos para medir la velocidad o la posición del motor, usando el propio motor como sensor. El motor siempre genera un voltaje proporcional a su velocidad angular de modo que no es necesaria calibración. Este método es eficiente en términos energéticos y simplifica los lazos de control en sistemas complejos. El primer sistema, llamado CSL Stay In Touch (SIT), consiste en un sistema formado por un motor DC controlado por una FPGA Board (Zynq ZYBO 7000) cuyo objetivo es mantener contacto con cualquier objeto externo que toque su plataforma sensible en ambas direcciones. Aparte del funcionamiento básico, tres modos (Search Mode, Inertia Mode y Return Mode) han sido diseñados para mejorar la interacción. Adicionalmente, se ha diseñado el control a través de la FPGA Board de una pantalla VGA para la monitorización de todo el sistema. El sistema ha sido totalmente desarrollado, testeado y mejorado; analizando su propiedades de timing y consumo energético. El segundo sistema, llamado CSL Fingerlike Mechanism (FM), consiste en un mecanismo similar a un dedo controlado por dos motores DC (Cada uno controlando una falange). Su comportamiento es similar al del primer sistema pero con una estructura más compleja. Este sistema no formaba parte de los objetivos iniciales del proyecto y por lo tanto era opcional. No pudo ser plenamente desarrollado debido a la falta de tiempo. El experimento de percepción háptica fue diseñado para profundizar en la percepción háptica humana con el objetivo de aplicar este conocimiento en aplicaciones tecnológicas. El experimento consistía en testear la capacidad de los sujetos para reconocer diferentes objetos, formas y texturas en condiciones de privación del sentido del oído y la vista. Se crearon dos grupos, en uno los sujetos tenían plena percepción háptica mientras que en el otro debían interactuar con los objetos a través de una pieza de plástico para generar un hándicap háptico. La conclusión del proyecto fue que un sistema háptico basado solo en sistemas CSL no es suficiente para recopilar información valiosa del entorno y que debe hacer uso de otros sensores (temperatura, presión, etc.). En cambio, un sistema basado en CSL es idóneo para el control de la fuerza aplicada por el sistema durante la interacción con superficies hápticas sensibles tales como la piel o pantallas táctiles

    A Review of Smart Materials in Tactile Actuators for Information Delivery

    Full text link
    As the largest organ in the human body, the skin provides the important sensory channel for humans to receive external stimulations based on touch. By the information perceived through touch, people can feel and guess the properties of objects, like weight, temperature, textures, and motion, etc. In fact, those properties are nerve stimuli to our brain received by different kinds of receptors in the skin. Mechanical, electrical, and thermal stimuli can stimulate these receptors and cause different information to be conveyed through the nerves. Technologies for actuators to provide mechanical, electrical or thermal stimuli have been developed. These include static or vibrational actuation, electrostatic stimulation, focused ultrasound, and more. Smart materials, such as piezoelectric materials, carbon nanotubes, and shape memory alloys, play important roles in providing actuation for tactile sensation. This paper aims to review the background biological knowledge of human tactile sensing, to give an understanding of how we sense and interact with the world through the sense of touch, as well as the conventional and state-of-the-art technologies of tactile actuators for tactile feedback delivery

    DEVELOPMENT OF SENSORY-MODE INTERACTION IN HAPTIC SYSTEM

    Get PDF
    This final report is an overview for Final Year Project titled "Development of sensory-mode interaction in haptic system". A haptic device enables interaction between human and computer, which also give response due to the force applied by the user movements. The aim of this project is to design and develop a simple haptic device to analyze on the concept of sensory-mode interaction by using strain gauge sensor. Current application of haptic technology has been widely used in robotics, teleoperators, simulators, and video game controller. However, most of the application of existing haptic device are expensive, sophisticated, and require high level of technology. Therefore, due to the complexity of the system, a simple haptic device is designed after analyzing literature review on the related work. The device also will enable user to obtain the tactile feedback when exerting force to the interface. In order to perform the virtual measurement, a Graphical User Interface (GUI) is developed using Lab View software. The hardware device will interact directly with the computer via communication board. Hence, whenever the user applies force on the device, the force value will transfer to the computer for further conversion and calculation. User can acquire data and the output value generated will be displayed on the screen of computer. The overall summary about this project is to produce a simple haptic device using the stain gauge sensor, and the amount force exerted by user can be measured and monitor via the Lab View Software

    Development of a Tactile Thimble for Augmented and Virtual Reality Applications

    Get PDF
    The technologies that have gained a renewed interest during the recent years are Virtual Reality (VR) and Augmented Reality (AR), as they become more accessible and affordable for mass-production. The input device which allows us to interact with the virtual environment is a very crucial aspect. One of the main barriers to immerse ourselves in virtual reality is the lack of realistic feedback. The user has to almost rely entirely on visual feedback without any haptic feedback, and this increases the user's workload and decreases the performance. In this thesis, a functional demonstrator of a tactile feedback device which conveys compelling interactions with not just VR, but also AR is presented. The device is designed such that there is realistic feedback for virtual touches and least obstruction during contact of a real object in AR applications. New design principle of introducing small actuators allows the device to be compact and increases its portability. In contrast to actuators that are placed on the finger pad in most of the available input devices for VR, a tactile device with two actuators that are arranged laterally on the finger, so that the underside of the fingertip is free is proposed. The output from these actuators generate a tactile stimulus by stimulating a sense of touch, which helps the user to manipulate virtual objects. The actuators are designed to independently generate vibrations and this coupled tactile feedback enhances the stimulation resulting in a wide variety of stimulation patterns for the sense of touch. Preliminary experimental evaluation for design and location of actuators has been carried out to measure the vibration intensity. In addition, user experiments for design evaluation of the two actuators based on different vibration patterns have also been conducted

    Analysis and Experimental Study of a 4-DOF Haptic Device

    Get PDF

    A Robust Wheel Interface With A Novel Adaptive Controller For Computer/robot-Assisted Motivating Rehabilitation

    Get PDF
    TheraDrive is a low-cost robotic system for post-stroke upper extremity rehabilitation. This system uses off-the-shelf computer gaming wheels with force feedback to help reduce motor impairment and improve function in the arms of stroke survivors. Preliminary results show that the TheraDrive system lacks a robust mechanical linkage that can withstand the forces exerted by patients, lacks a patient-specific adaptive controller to deliver personalized therapy, and is not capable of delivering effective therapy to severely low-functioning patients. A new low-cost, high-force haptic robot with a single degree of freedom has been developed to address these concerns. The resulting TheraDrive consists of an actuated hand crank with a compliant transmission. Actuation is provided by a brushed DC motor, geared to output up to 50 lbf (223 N) at the end effector. To enable safe human-machine interaction, a special compliant element was developed to function also as a failsafe torque limiter. A load cell is used to determine the human-machine interaction forces for use by the robot\u27s impedance controller. The impedance controller renders a virtual spring that attracts or repels the end effector from a moving target that the human must track during therapy exercises. As exercises are performed, an adaptive controller monitors patient performance and adjusts the spring stiffness to ensure that exercises are difficult but doable, which is important for maintaining patient motivation. Experiments with a computer model of a human and robot show the adaptive controller\u27s ability to maintain difficulty of exercises after a period of initial calibration. Seven human subjects (3 normal, 4 stroke-impaired) were used to test this system alongside the original TheraDrive system in order to compare both systems. Data showed that the new system produced a larger change in normalized trajectory tracking error when assistance/resistance was added to exercises when compared to the original TheraDrive. Data also showed that adaptive control led subject performance to be closer to a desired level. Motivation surveys showed no significant difference in subject motivation between the two systems. When asked to choose a preferred system, stroke subjects unanimously chose the new robot
    corecore