5 research outputs found

    An Interconnection Architecture for Seamless Inter and Intra-Chip Communication Using Wireless Links

    Get PDF
    As semiconductor technologies continues to scale, more and more cores are being integrated on the same multicore chip. This increase in complexity poses the challenge of efficient data transfer between these cores. Several on-chip network architectures are proposed to improve the design flexibility and communication efficiency of such multicore chips. However, in a larger system consisting of several multicore chips across a board or in a System-in-Package (SiP), the performance is limited by the communication among and within these chips. Such systems, most commonly found within computing modules in typical data center nodes or server racks, are in dire need of an efficient interconnection architecture. Conventional interchip communication using wireline links involve routing the data from the internal cores to the peripheral I/O ports, travelling over the interchip channels to the destination chip, and finally getting routed from the I/O to the internal cores there. This multihop communication increases latency and energy consumption while decreasing data bandwidth in a multichip system. Furthermore, the intrachip and interchip communication architectures are separately designed to maximize design flexibility. Jointly designing them could, however, improve the communication efficiency significantly and yield better solutions. Previous attempts at this include an all-photonic approach that provides a unified inter/intra-chip optical network, based on recent progress in nano-photonic technologies. Works on wireless inter-chip interconnects successfully yielded better results than their wired counterparts, but their scopes were limited to establishing a single wireless connection between two chips rather than a communication architecture for a system as a whole. In this thesis, the design of a seamless hybrid wired and wireless interconnection network for multichip systems in a package is proposed. The design utilizes on-chip wireless transceivers with dimensions spanning up to tens of centimeters. It manages to seamlessly bind both intrachip and interchip communication architectures and enables direct chip-to-chip communication between the internal cores. It is shown through cycle accurate simulations that the proposed design increases the bandwidth and reduces the energy consumption when compared to the state-of-the-art wireline I/O based multichip communications

    Combined Dynamic Thermal Management Exploiting Broadcast-Capable Wireless Network-on-Chip Architecture

    Get PDF
    With the continuous scaling of device dimensions, the number of cores on a single die is constantly increasing. This integration of hundreds of cores on a single die leads to high power dissipation and thermal issues in modern Integrated Circuits (ICs). This causes problems related to reliability, timing violations and lifetime of electronic devices. Dynamic Thermal Management (DTM) techniques have emerged as potential solutions that mitigate the increasing temperatures on a die. However, considering the scaling of system sizes and the adoption of the Network-on-Chip (NoC) paradigm to serve as the interconnection fabric exacerbates the problem as both cores and NoC elements contribute to the increased heat dissipation on the chip. Typically, DTM techniques can either be proactive or reactive. Proactive DTM techniques, where the system has the ability to predict the thermal profile of the chip ahead of time are more desirable than reactive DTM techniques where the system utilizes thermal sensors to determine the current temperature of the chip. Moreover, DTM techniques either address core or NoC level thermal issues separately. Hence, this thesis proposes a combined proactive DTM technique that integrates both core level and NoC level DTM techniques. The combined DTM mechanism includes a dynamic temperature-aware routing approach for the NoC level elements, and includes task reallocation heuristics for the core level elements. On-chip wireless interconnects recently envisioned to enable energy-efficient data exchange between cores in a multicore chip will be used to provide a broadcast-capable medium to efficiently distribute thermal control messages to trigger and manage the DTM. Combining the proactive DTM technique with on-chip wireless interconnects, the on-chip temperature is restricted within target temperatures without significantly affecting the performance of the NoC based interconnection fabric of the multicore chip

    A Scalable & Energy Efficient Graphene-Based Interconnection Framework for Intra and Inter-Chip Wireless Communication in Terahertz Band

    Get PDF
    Network-on-Chips (NoCs) have emerged as a communication infrastructure for the multi-core System-on-Chips (SoCs). Despite its advantages, due to the multi-hop communication over the metal interconnects, traditional Mesh based NoC architectures are not scalable in terms of performance and energy consumption. Folded architectures such as Torus and Folded Torus were proposed to improve the performance of NoCs while retaining the regular tile-based structure for ease of manufacturing. Ultra-low-latency and low-power express channels between communicating cores have also been proposed to improve the performance of conventional NoCs. However, the performance gain of these approaches is limited due to metal/dielectric based interconnection. Many emerging interconnect technologies such as 3D integration, photonic, Radio Frequency (RF), and wireless interconnects have been envisioned to alleviate the issues of a metal/dielectric interconnect system. However, photonic and RF interconnects need the additional physically overlaid optical waveguides or micro-strip transmission lines to enable data transmission across the NoC. Several on-chip antennas have shown to improve energy efficiency and bandwidth of on-chip data communications. However, the date rates of the mm-wave wireless channels are limited by the state-of-the-art power-efficient transceiver design. Recent research has brought to light novel graphene based antennas operating at THz frequencies. Due to the higher operating frequencies compared to mm-wave transceivers, the data rate that can be supported by these antennas are significantly higher. Higher operating frequencies imply that graphene based antennas are just hundred micrometers in size compared to dimensions in the range of a millimeter of mm-wave antennas. Such reduced dimensions are suitable for integration of several such transceivers in a single NoC for relatively low overheads. In this work, to exploit the benefits of a regular NoC structure in conjunction with emerging Graphene-based wireless interconnect. We propose a toroidal folding based NoC architecture. The novelty of this folding based approach is that we are using low power, high bandwidth, single hop direct point to point wireless links instead of multihop communication that happens through metallic wires. We also propose a novel phased based communication protocol through which multiple wireless links can be made active at a time without having any interference among the transceiver. This offers huge gain in terms of performance as compared to token based mechanism where only a single wireless link can be made active at a time. We also propose to extend Graphene-based wireless links to enable energy-efficient, phase-based chip-to-chip communication to create a seamless, wireless interconnection fabric for multichip systems as well. Through cycle-accurate system-level simulations, we demonstrate that such designs with torus like folding based on THz links instead of global wires along with the proposed phase based multichip systems. We provide estimates that they are able to provide significant gains (about 3 to 4 times better in terms of achievable bandwidth, packet latency and average packet energy when compared to wired system) in performance and energy efficiency in data transfer in a NoC as well as multichip system. Thus, realization of these kind of interconnection framework that could support high data rate links in Tera-bits-per-second that will alleviate the capacity limitations of current interconnection framework

    Overcoming the Challenges for Multichip Integration: A Wireless Interconnect Approach

    Get PDF
    The physical limitations in the area, power density, and yield restrict the scalability of the single-chip multicore system to a relatively small number of cores. Instead of having a large chip, aggregating multiple smaller chips can overcome these physical limitations. Combining multiple dies can be done either by stacking vertically or by placing side-by-side on the same substrate within a single package. However, in order to be widely accepted, both multichip integration techniques need to overcome significant challenges. In the horizontally integrated multichip system, traditional inter-chip I/O does not scale well with technology scaling due to limitations of the pitch. Moreover, to transfer data between cores or memory components from one chip to another, state-of-the-art inter-chip communication over wireline channels require data signals to travel from internal nets to the peripheral I/O ports and then get routed over the inter-chip channels to the I/O port of the destination chip. Following this, the data is finally routed from the I/O to internal nets of the target chip over a wireline interconnect fabric. This multi-hop communication increases energy consumption while decreasing data bandwidth in a multichip system. On the other hand, in vertically integrated multichip system, the high power density resulting from the placement of computational components on top of each other aggravates the thermal issues of the chip leading to degraded performance and reduced reliability. Liquid cooling through microfluidic channels can provide cooling capabilities required for effective management of chip temperatures in vertical integration. However, to reduce the mechanical stresses and at the same time, to ensure temperature uniformity and adequate cooling competencies, the height and width of the microchannels need to be increased. This limits the area available to route Through-Silicon-Vias (TSVs) across the cooling layers and make the co-existence and co-design of TSVs and microchannels extreamly challenging. Research in recent years has demonstrated that on-chip and off-chip wireless interconnects are capable of establishing radio communications within as well as between multiple chips. The primary goal of this dissertation is to propose design principals targeting both horizontally and vertically integrated multichip system to provide high bandwidth, low latency, and energy efficient data communication by utilizing mm-wave wireless interconnects. The proposed solution has two parts: the first part proposes design methodology of a seamless hybrid wired and wireless interconnection network for the horizontally integrated multichip system to enable direct chip-to-chip communication between internal cores. Whereas the second part proposes a Wireless Network-on-Chip (WiNoC) architecture for the vertically integrated multichip system to realize data communication across interlayer microfluidic coolers eliminating the need to place and route signal TSVs through the cooling layers. The integration of wireless interconnect will significantly reduce the complexity of the co-design of TSV based interconnects and microchannel based interlayer cooling. Finally, this dissertation presents a combined trade-off evaluation of such wireless integration system in both horizontal and vertical sense and provides future directions for the design of the multichip system

    ENOC : rede-em-chip expansível

    Get PDF
    Orientador: Luiz Carlos Pessoa AlbiniCoorientador: Marco Antonio Zanata AlvesTese (doutorado) - Universidade Federal do Paraná, Setor de Ciências Exatas, Programa de Pós-Graduação em Informática. Defesa : Curitiba, 10/02/2018Inclui referências: p. 71-81Resumo: Os sistemas multiprocessados integrados em chip têm emergido como uma importante tendência para projetos de sistemas em chip. Estes sistemas são formados por vários elementos de processamento conectados originalmente por um barramento compartilhado. Este barramento possui restrições à crescente integração de mais elementos de processamento em um único chip, pois não permite a comunicação paralela e à medida que os elementos aumentam o barramento apresenta menor desempenho na comunicação devido a capacidade fixa. A rede em chip, do inglês Network-on-Chip (NoC), é uma alternativa ao barramento que permite a comunicação paralela e escalável entre os diferentes elementos de processamento de um chip. Tradicionalmente, a NoC é composta por interligações metálicas entre os roteadores e cada roteador é ligado a um elemento de processamento, a comunicação acontece por encaminhamento de pacotes seguindo um determinado algoritmo de roteamento. Esta comunicação pode ser estendida de ligações metálicas para ligações sem fio principalmente para mitigar a latência resultante dos diversos saltos necessários para comunicar elementos de processamento de um chip, em especial dos mais distantes, uma vez que na comunicação sem fio o pacote é transmitido com apenas um salto. Entretanto, há sobrecustos em utilizar esta tecnologia, e por isto várias pesquisas abordam a interligação de apenas regiões do chip, e não todos os elementos. Mesmo com a evolução das formas de comunicação em um chip, a capacidade de um sistema em chip estava limitada aos seus elementos inseridos em momento de fabricação. Esta tese apresenta a ENoC, uma rede em chip expansível capaz de interligar sistemas em chip distintos reconfigurando-se para oferecer uma visão única de sistema com processamento paralelo distribuído por passagem de mensagem. A arquitetura e a comunicação na ENoC são apresentadas juntamente com uma discussão sobre o uso de sistema operacional e organização da memória. A avaliação é realizada por meio de simulações e análise de desempenho. A segurança da comunicação entre os chips é discutida e sistemas de criptografias são avaliados para manter a confidencialidade da informação. Com os resultados dos experimentos concluímos que a ENoC é uma abordagem adequada para a expandir os recursos entre chips e que cada sistema de criptografia possui vantagens e desvantagens próprias para proteger a comunicação sem fio entre as ENoCs, e a escolha de qual criptossistema é uma decisão de projeto. Palavras-chave: sistema em chip, rede em chip, criptografia.Abstract: Multiprocessor Systems-on-Chip has emerged as an important trend for System-on-Chip designs. These systems consists in several processing elements interconnected, originally, by a shared bus. This bus has restrictions to the increasing integration of many processing elements in a single chip, due to does not allow the parallel communication and as the elements increase the bus presents fewer communication performance because its capacity is fixed. The Network-on-Chip (NoC) is an alternative to the bus that allows parallel and scalable communication among all processing elements on chip. Traditionally, the NoC is made up of metallic wired interconnecting the routers and each router is connected to a processing element, the communication is performed by packets routing following a routing algorithm. This communication may be extended from metal wired links to wireless links, mainly to mitigate the latency from several needed hops to communicate processing elements, in special, the more distant ones, once in wireless communication the packet is transmitted by a single hop. However, there are additional costs in using this technology, and for this reason several researches focus on interconnecting only chip regions, not all elements. Even with the evolution of communication on NoC, the capacity of a system-on-chip was limited to its elements at manufacture time. This thesis presents the ENoC, an Expansible Network-on-Chip capable of interconnecting distinct reconfigurable SoCs to provide a single system view with parallel processing distributed by message passing. The architecture and communication of ENoC are presented within a discussion of operational system and memory organization. The evaluation is performed by simulation and performance analysis. The security of inter-chip communication is discussed and cryptography systems are evaluated to offer a confidentiality of the information. With the results, we conclude that the ENoC is a suitable approach to expand the resources between chips and that each encryption system has its own advantages and disadvantages in order to protect the wireless inter-chip communication, in such way, the choice of which criptosystem is a design decision. Keywords: system-on-chip, network-on-chip, cryptography
    corecore