8,827 research outputs found

    Exploration and Design of High Performance Variation Tolerant On-Chip Interconnects

    Get PDF
    Siirretty Doriast

    High-performance long NoC link using delay-insensitive current-mode signaling

    Get PDF
    High-performance long-range NoC link enables efficient implementation of network-on-chip topologies which inherently require high-performance long-distance point-to-point communication such as torus and fat-tree structures. In addition, the performance of other topologies, such as mesh, can be improved by using high-performance link between few selected remote nodes.We presented novel implementation of high-performance long-range NoC link based onmultilevel current-mode signaling and delayinsensitive two-phase 1-of-4 encoding. Current-mode signaling reduces the communication latency of long wires significantlycompared to voltage-mode signaling, making it possible to achieve high throughput without pipelining and/or using repeaters. The performance of the proposed multilevel current-mode interconnect is analyzed and compared with two reference voltage mode interconnects. These two reference interconnects are designed using two-phase 1-of-4 encoded voltage-mode signaling, one with pipeline stages and the other using optimal repeater insertion. The proposed multilevel current-mode interconnect achieves higher throughput and lower latency than the two reference interconnects. Its throughput at 8mm wire length is 1.222GWord/swhich is 1.58 and 1.89 times higher than the pipelined and optimal repeater insertion interconnects, respectively. Furthermore, its power consumption is less than the optimal repeater insertion voltage-mode interconnect, at 10mm wire length its power consumption is 0.75mW while the reference repeater insertion interconnect is 1.066 mW. The effect of crosstalk is analyzed using four-bit parallel data transfer with the best-case and worst-case switching patterns and a transmission line model which has both capacitive coupling and inductive coupling.</p

    Cancellation of crosstalk-induced jitter

    Get PDF
    A novel jitter equalization circuit is presented that addresses crosstalk-induced jitter in high-speed serial links. A simple model of electromagnetic coupling demonstrates the generation of crosstalk-induced jitter. The analysis highlights unique aspects of crosstalk-induced jitter that differ from far-end crosstalk. The model is used to predict the crosstalk-induced jitter in 2-PAM and 4-PAM, which is compared to measurement. Furthermore, the model suggests an equalizer that compensates for the data-induced electromagnetic coupling between adjacent links and is suitable for pre- or post-emphasis schemes. The circuits are implemented using 130-nm MOSFETs and operate at 5-10 Gb/s. The results demonstrate reduced deterministic jitter and lower bit-error rate (BER). At 10 Gb/s, the crosstalk-induced jitter equalizer opens the eye at 10^sup-12 BER from 17 to 45 ps and lowers the rms jitter from 8.7 to 6.3 ps

    Doctor of Philosophy

    Get PDF
    dissertationCommunication surpasses computation as the power and performance bottleneck in forthcoming exascale processors. Scaling has made transistors cheap, but on-chip wires have grown more expensive, both in terms of latency as well as energy. Therefore, the need for low energy, high performance interconnects is highly pronounced, especially for long distance communication. In this work, we examine two aspects of the global signaling problem. The first part of the thesis focuses on a high bandwidth asynchronous signaling protocol for long distance communication. Asynchrony among intellectual property (IP) cores on a chip has become necessary in a System on Chip (SoC) environment. Traditional asynchronous handshaking protocol suffers from loss of throughput due to the added latency of sending the acknowledge signal back to the sender. We demonstrate a method that supports end-to-end communication across links with arbitrarily large latency, without limiting the bandwidth, so long as line variation can be reliably controlled. We also evaluate the energy and latency improvements as a result of the design choices made available by this protocol. The use of transmission lines as a physical interconnect medium shows promise for deep submicron technologies. In our evaluations, we notice a lower energy footprint, as well as vastly reduced wire latency for transmission line interconnects. We approach this problem from two sides. Using field solvers, we investigate the physical design choices to determine the optimal way to implement these lines for a given back-end-of-line (BEOL) stack. We also approach the problem from a system designer's viewpoint, looking at ways to optimize the lines for different performance targets. This work analyzes the advantages and pitfalls of implementing asynchronous channel protocols for communication over long distances. Finally, the innovations resulting from this work are applied to a network-on-chip design example and the resulting power-performance benefits are reported

    On-chip signaling techniques for high-speed Serdes transceivers

    Get PDF
    The general goal of the VLSI technology is to produce very fast chips with very low power consumption. The technology scaling along with increasing the working frequency had been the perfect solution, which enabled the evolution of electronic devices in the 20th century. However, in deep sub-micron technologies, the on-chip power density limited the continuous increment in frequency, which led to another trend for designing higher performance chips without increasing the working speed. Parallelism was the optimum solution, and the VLSI manufacturers began the era of multi-core chips. These multi-core chips require a full inter-core network for the required communication. These on-chip links were conventionally parallel. However, due to reverse scaling in modern technologies, parallel signaling is becoming a burden due to the very large area of needed interconnects. Also, due to the very high power due to the tremendous number of repeaters, in addition to cross talk issues. As a solution, on-chip serial communication was suggested. It will solve all the previous issues, but it will require very high speed circuits to achieve the same data rates. This thesis presents two full SerDes transceiver designs for on-chip high speed serial communication. Both designs use long lossy on-chip differential interconnects with capacitive termination. The first design uses a 3-level self-timed signaling technique. This signaling technique is totally jitter-insensitive, since both of the data and clock are extracted at the receiver from the same signal. A new encoding and driving technique is designed to enable the transmitter to work at a frequency equal to the data rate, which is half of the frequency of the previous designs, along with achieving the same data rate. Also, this design generates the third voltage level without the need of an external supply. This design is very tolerant to any possible variations, such as PVT variations or the input clock\u27s duty cycle variations. This transceiver is prepared for tape-out in UMC 0.13รƒลฝร‚ยผm CMOS technology in June 2014. The second design uses a new 3-level signaling technique; the proposed technique uses a frequency of only half the data rate, which totally relaxes the full transceiver design. The new technique is also self-timed enabling the extraction of both the data, and the clock from the same signal. New encoders and decoders are designed, and a new architecture for a 3-level inverter is presented. This transceiver achieves very high data rates. This new design is expected to be taped-out using the GF 65nm CMOS technology in August 2014

    ์ „์› ์žก์Œ์— ๋‘”๊ฐํ•œ ๊ณ ๋ฆฌ ๋ฐœ์ง„๊ธฐ์™€ ๋””์ง€ํ„ธ ์œ„์ƒ ๋™๊ธฐ ํšŒ๋กœ ์„ค๊ณ„

    Get PDF
    ํ•™์œ„๋…ผ๋ฌธ(์„์‚ฌ) -- ์„œ์šธ๋Œ€ํ•™๊ต๋Œ€ํ•™์› : ๊ณต๊ณผ๋Œ€ํ•™ ์ „๊ธฐยท์ •๋ณด๊ณตํ•™๋ถ€, 2023. 2. ์ •๋•๊ท .One of the critical blocks integrated into the PAM4-binary bridge, bridging the high-speed DRAM and the low-speed DRAM Tester, is an All-Digital Phase-Locked Loop (ADPLL). Since the transmitter and receiver operate based on the clock signal, whose frequency is doubled compared to the clock signal transmitted from the memory tester by the ADPLL, the ADPLL needs to have a low RMS jitter and high Process-Voltage-Temperature (PVT) tolerance characteristics. However, due to the complex bridge circuit sharing the supply power with the ADPLL, power supply noise (PSN) is the main challenge for the Ring Oscillator (RO) based ADPLL. This thesis presents a Supply Noise-Insensitive RO-based ADPLL. A supply noise absorbing shunt regulator composed of 31-bit NMOS transistors Array is embedded parallel to the RO. Output codes from the Digital Loop Filter (DLF) not only control the Digitally-Controlled Resistor (DCR) but also the transconductance of the NMOS transistor Array. The proposed ADPLL is fabricated in the 40-nm CMOS technology. The ADPLL occupies an active area of 0.06 mm2 and consumes power 13.5 mW, while the proposed scheme only takes 6.6% and 2.8% of it, respectively. At 8 GHz operation, the proposed ADPLL achieves an RMS jitter of 3.255 ps with 1-MHz 40-mVpp sinusoidal noise injected into the supply voltage. With the Supply Noise-Insensitive technique, the RMS jitter lowers to 1.268 ps.๊ณ ์† DRAM๊ณผ ์ €์† ๊ฒ€์‚ฌ ์žฅ๋น„๋ฅผ ์—ฐ๊ฒฐํ•˜๋Š” 4๋‹จ๊ณ„ ํŽ„์Šค ์ง„ํญ ๋ณ€์กฐ-2์ง„๋ฒ• ๋ธŒ๋ฆฌ์ง€ ์นฉ์˜ ์ฃผ์š” ๊ตฌ์„ฑ ํšŒ๋กœ ์ค‘์— ๋””์ง€ํ„ธ ์œ„์ƒ ๋™๊ธฐ ํšŒ๋กœ๊ฐ€ ์žˆ๋‹ค. ์ด ํšŒ๋กœ๊ฐ€ ๊ฒ€์‚ฌ ์žฅ๋น„์—์„œ ์˜จ ์ฐธ์กฐ ํด๋ฝ์˜ ์ง„๋™์ˆ˜๋ฅผ 2๋ฐฐ๋กœ ๋น ๋ฅด๊ฒŒ ํ•˜์—ฌ ์ถœ๋ ฅํ•˜๊ณ , ๊ทธ ํด๋ฝ์„ ๊ธฐ์ค€์œผ๋กœ ์นฉ์˜ ์†ก์ˆ˜์‹  ํšŒ๋กœ๋“ค์ด ๋™์ž‘ํ•˜๊ธฐ ๋•Œ๋ฌธ์— ๋‚ฎ์€ RMS ์ง€ํ„ฐ์™€ ๊ณต์ •-์ „์••-์˜จ๋„ ๋ณ€ํ™”์— ๋‘”๊ฐํ•œ ์„ฑ๋Šฅ์ด ์š”๊ตฌ๋œ๋‹ค. ํ•˜์ง€๋งŒ, ์นฉ์˜ ๋ณต์žกํ•œ ํšŒ๋กœ๋“ค ๋•Œ๋ฌธ์— ๊ณ ๋ฆฌ ๋ฐœ์ง„๊ธฐ๋ฅผ ๊ธฐ๋ฐ˜์œผ๋กœ ํ•œ ์ด ํšŒ๋กœ์—๊ฒŒ ์ „์› ์ „์•• ์žก์Œ์ด ๊ฐ€์žฅ ํฐ ๋ฌธ์ œ์ ์ด ๋œ๋‹ค. ๋ณธ ๋…ผ๋ฌธ์€ ์ „์› ์žก์Œ์— ๋‘”๊ฐํ•œ ๊ณ ๋ฆฌ ๋ฐœ์ง„๊ธฐ๋ฅผ ๊ธฐ๋ฐ˜์œผ๋กœ ํ•œ ๋””์ง€ํ„ธ ์œ„์ƒ ๋™๊ธฐ ํšŒ๋กœ๋ฅผ ์ œ์•ˆํ•œ๋‹ค. ์ „์› ์žก์Œ์„ ํก์ˆ˜ํ•˜๋Š” ๋‹จ๋ฝ ๋ ˆ๊ทค๋ ˆ์ดํ„ฐ ์—ญํ• ์˜ 31-๋น„ํŠธNMOS ํŠธ๋žœ์ง€์Šคํ„ฐ ๋ฐฐ์—ด์ด ๊ณ ๋ฆฌ ๋ฐœ์ง„๊ธฐ์™€ ํ‰ํ–‰ํ•˜๊ฒŒ ๊ตฌํ˜„๋˜์—ˆ๋‹ค. ๋””์ง€ํ„ธ ์ œ์–ด ์ €ํ•ญ์„ ์กฐ์ ˆํ•˜๋Š” ๋””์ง€ํ„ธ ๋ฃจํ”„ ํ•„ํ„ฐ์—์„œ ์˜จ ํ–‰ ์กฐ์ • ๋น„ํŠธ๋“ค์ด NMOS ํŠธ๋žœ์ง€์Šคํ„ฐ ๋ฐฐ์—ด์˜ ํŠธ๋žœ์Šค์ปจ๋•ํ„ด์Šค๋„ ์กฐ์ ˆํ•˜๊ฒŒ ๋””์ž์ธํ•˜์˜€๋‹ค. ์ œ์•ˆ๋œ ๋””์ง€ํ„ธ ์œ„์ƒ ๋™๊ธฐ ํšŒ๋กœ๋Š” 40-nm CMOS ๊ณต์ •์œผ๋กœ ์ œ์ž‘๋˜์—ˆ๋‹ค. 0.06 mm2 ์˜ ๋ฉด์ ์„ ์ฐจ์ง€ํ•˜๊ณ  13.5 mW์˜ ์ „๋ ฅ์„ ์†Œ๋ชจํ•˜๋ฉฐ, ๊ณ ์•ˆ๋œ ์ „์› ์žก์Œ ํก์ˆ˜ ํšŒ๋กœ๋Š” ๊ฐ๊ฐ 0.0017 mm2์™€ 0.9mW, ์ฆ‰, ์ „์ฒด์˜ 6.6%์™€ 2.8%๋งŒ ์ฐจ์ง€ํ•˜์˜€๋‹ค. 8GHz ๋™์ž‘์—์„œ, ์ œ์•ˆ๋œ ํšŒ๋กœ๋Š” 1-MHz 40-mVpp ์‚ฌ์ธํŒŒ ์ „์› ์žก์Œ ์•„๋ž˜์—์„œ 3.255 ps์˜ RMS ์ง€ํ„ฐ๋ฅผ ๋ณด์˜€์ง€๋งŒ, ๊ณ ์•ˆ๋œ ํšŒ๋กœ์˜ ๋™์ž‘๊ณผ ํ•จ๊ป˜ 1.268 ps๋กœ ์ค„์—ˆ๋‹ค.CHAPTER 1 INTRODUCTION 1 1.1 MOTIVATION 1 1.2 THESIS ORGANIZATION 4 CHAPTER 2 BACKGROUNDS 5 2.1 OVERVIEW 5 2.2 COMPOSITIONS OF THE ADPLL 8 2.2.1 TIME-TO-DIGITAL CONVERTER 8 2.2.2 DIGITAL LOOP FILTER 11 2.2.3 DIGITALLY CONTROLLED OSCILLATOR 14 2.2.4 PRIOR WORKS OF SUPPLY NOISE CANCELLATION 19 2.3 ADPLL LOOP ANALYSIS 21 2.3.1 LOOP TRANSFER FUNCTION 21 2.3.2 NOISE MODELING 23 CHAPTER 3 DESIGN OF SUPPLY NOISE-INSENSITIVE ADPLL 26 3.1 DESIGN CONSIDERATION 26 3.2 OVERALL ARCHITECTURE 28 3.3 PROPOSED CIRCUIT IMPLEMENTATION 30 3.3.1 PFD-TDC AND DIGITAL BLOCK 30 3.3.2 PROPOSED DCO WITH DCR 33 3.3.3 NMOS SHUNT REGULATOR ARRAY 37 3.3.4 SUPPLY SENSING AMPLIFIER 39 3.3.5 SUPPLY NOISE-INSENSITIVE TECHNIQUE 41 CHAPTER 4 MEASUREMENT RESULTS 43 4.1 CHIP PHOTOMICROGRAPH 43 4.2 MEASUREMENT SETUP 45 4.3 MEASUREMENT RESULTS 46 4.3.1 FREE-RUNNING DCO 46 4.3.2 CLOSED-LOOP PERFORMANCE 47 4.4 PERFORMANCE SUMMARY 49 CHAPTER 5 CONCLUSION 51 BIBLIOGRAPHY 52 ์ดˆ ๋ก 55์„
    • โ€ฆ
    corecore