600 research outputs found

    Analyses and design strategies for fundamental enabling building blocks: Dynamic comparators, voltage references and on-die temperature sensors

    Get PDF
    Dynamic comparators and voltage references are among the most widely used fundamental building blocks for various types of circuits and systems, such as data converters, PLLs, switching regulators, memories, and CPUs. As thermal constraints quickly emerged as a dominant performance limiter, on-die temperature sensors will be critical to the reliable operation of future integrated circuits. This dissertation investigates characteristics of these three enabling circuits and design strategies for improving their performances. One of the most critical specifications of a dynamic comparator is its input referred offset voltage, which is pivotal to achieving overall system performance requirements of many mixed-signal circuits and systems. Unlike offset voltages in other circuits such as amplifiers, the offset voltage in a dynamic comparator is extremely challenging to analyze and predict analytically due to its dependence on transient response and due to internal positive feedback and time-varying operating points in the comparator. In this work, a novel balanced method is proposed to facilitate the evaluation of time-varying operating points of transistors in a dynamic comparator. Two types of offsets are studied in the model: (1) static offset voltage caused by mismatches in mobilities, transistor sizes, and threshold voltages, and (2) dynamic offset voltage caused by mismatches in parasitic capacitors or loading capacitors. To validate the proposed method, dynamic comparators in two prevalent topologies are implemented in 0.25 ฮผm and 40 nm CMOS technologies. Agreement between predicted results and simulated results verifies the effectiveness of the proposed method. The new method and the analytical models enable designers to identify the most dominant contributors to offset and to optimize the dynamic comparators\u27 performances. As an illustrating example, the Lewis-Gray dynamic comparator was analyzed using the balanced method and redesigned to minimize its offset voltage. Simulation results show that the offset voltage was easily reduced by 41% while maintaining the same silicon area. A bandgap voltage reference is one of the core functional blocks in both analog and digital systems. Despite the reported improvements in performance of voltage references, little attention has been focused on theoretical characterizations of non-ideal effects on the value of the output voltage, on the inflection point location and on the curvature of the reference voltage. In this work, a systematic approach is proposed to analytically determine the effects of two non-ideal elements: the temperature dependent gain-determining resistors and the amplifier offset voltage. The effectiveness of the analytical models is validated by comparing analytical results against Spectre simulation results. Research on on-die temperature sensor design has received rapidly increasing attention since component and power density induced thermal stress has become a critical factor in the reliable operation of integrated circuits. For effective power and thermal management of future multi-core systems, hundreds of sensors with sufficient accuracy, small area and low power are required on a single chip. This work introduces a new family of highly linear on chip temperature sensors. The proposed family of temperature sensors expresses CMOS threshold voltage as an output. The sensor output is independent of power supply voltage and independent of mobility values. It can achieve very high temperature linearity, with maximum nonlinearity around +/- 0.05oC over a temperature range of -20oC to 100oC. A sizing strategy based on combined analytical analysis and numerical optimization has been presented. Following this method, three circuits A, B and C have been designed in standard 0.18 ym CMOS technology, all achieving excellent linearity as demonstrated by Cadence Spectre simulations. Circuits B and C are the modified versions of circuit A, and have improved performance at the worst corner-low voltage supply and high threshold voltage corner. Finally, a direct temperature-to-digital converter architecture is proposed as a master-slave hybrid temperature-to-digital converter. It does not require any traditional constant reference voltage or reference current, it does not attempt to make any node voltage or branch current constant or precisely linear to temperature, yet it generates a digital output code that is very linear with temperature

    Relaxation Digital-to-Analog Converter with Foreground Digital Self-Calibration

    Get PDF
    3noA reference-free, fully digital foreground self-calibration strategy intended to automatically tune the clock frequency of Relaxation Digital to Analog Converters (ReDACs), as demanded for linear operation, is presented in this paper. The effectiveness of the proposed approach is demonstrated by computer simulations on a 10-bit, 2MS/s ReDAC designed in 40nm CMOS and operated from a 600mV power supply voltage. After the proposed calibration, the ReDAC is shown to operate near the optimal clock frequency achieving 0.98 LSB maximum INL, 1.00 LSB maximum DNL and 9.06 ENOB.partially_openopenPaolo Crovetti; Roberto Rubino; Francesco MusolinoCrovetti, PAOLO STEFANO; Rubino, Roberto; Musolino, Francesc

    Low-Power Energy Efficient Circuit Techniques for Small IoT Systems

    Full text link
    Although the improvement in circuit speed has been limited in recent years, there has been increased focus on the internet of things (IoT) as technology scaling has decreased circuit size, power usage and cost. This trend has led to the development of many small sensor systems with affordable costs and diverse functions, offering people convenient connection with and control over their surroundings. This dissertation discusses the major challenges and their solutions in realizing small IoT systems, focusing on non-digital blocks, such as power converters and analog sensing blocks, which have difficulty in following the traditional scaling trends of digital circuits. To accommodate the limited energy storage and harvesting capacity of small IoT systems, this dissertation presents an energy harvester and voltage regulators with low quiescent power and good efficiency in ultra-low power ranges. Switched-capacitor-based converters with wide-range energy-efficient voltage-controlled oscillators assisted by power-efficient self-oscillating voltage doublers and new cascaded converter topologies for more conversion ratio configurability achieve efficient power conversion down to several nanowatts. To further improve the power efficiency of these systems, analog circuits essential to most wireless IoT systems are also discussed and improved. A capacitance-to-digital sensor interface and a clocked comparator design are improved by their digital-like implementation and operation in phase and frequency domain. Thanks to the removal of large passive elements and complex analog blocks, both designs achieve excellent area reduction while maintaining state-of-art energy efficiencies. Finally, a technique for removing dynamic voltage and temperature variations is presented as smaller circuits in advanced technologies are more vulnerable to these variations. A 2-D simultaneous feedback control using an on-chip oven control locks the supply voltage and temperature of a small on-chip domain and protects circuits in this locked domain from external voltage and temperature changes, demonstrating 0.0066 V/V and 0.013 ยฐC/ยฐC sensitivities to external changes. Simple digital implementation of the sensors and most parts of the control loops allows robust operation within wide voltage and temperature ranges.PHDElectrical EngineeringUniversity of Michigan, Horace H. Rackham School of Graduate Studieshttps://deepblue.lib.umich.edu/bitstream/2027.42/138743/1/wanyeong_1.pd

    Direct Time of Flight Single Photon Imaging

    Get PDF

    DDR5 ํด๋ฝ ๋ฒ„ํผ๋ฅผ ์œ„ํ•œ LC PLL์˜ ์„ค๊ณ„

    Get PDF
    ํ•™์œ„๋…ผ๋ฌธ(์„์‚ฌ) -- ์„œ์šธ๋Œ€ํ•™๊ต๋Œ€ํ•™์› : ๊ณต๊ณผ๋Œ€ํ•™ ์ „๊ธฐยท์ •๋ณด๊ณตํ•™๋ถ€, 2022. 8. ์ •๋•๊ท .This thesis describes a wide-range, fast-locking LC PLL for DDR5 clock buffer application. To operate LC PLL at wide range of input frequency, proposed PLL uses LC VCO with 28GHz center frequency and calculates appropriate division ratio of programmable divider for certain input frequen-cy at transient state. Calculating division ratio is achieved by using integer counter and fractional counter, detecting frequency of input clock at transient state. After calculating division ratio, proposed PLL operates as 3rd order charge pump PLL with optimum current value to lock fast. Proposed PLL is described with Systemverilog and simulation results shows that proposed LC PLL operates at 1 ~ 4.2GHz input frequency, while successfully acquires to lock at under 1ฮผs. Also, LC-VCO is designed in a 40nm CMOS and simulation results shows that tuning range of VCO is ยฑ9.25% with respect to center frequency of 28.2GHz, and VCO dissipates 26.4mW and phase noise is โ€“104.86dBc/Hz at 1MHz offset, operating at center fre-quency with 1.1V supply voltage.๋ณธ ๋…ผ๋ฌธ์€ DDR5 Clock Buffer๋ฅผ ์œ„ํ•œ, ๋„“์€ ๋ฒ”์œ„์—์„œ ๋น ๋ฅด๊ฒŒ ๋ฝ์„ ํ•˜๋Š” LC PLL์— ๋Œ€ํ•ด์„œ ์„ค๋ช…ํ•œ๋‹ค. ๋„“์€ ๋ฒ”์œ„์˜ ์ž…๋ ฅ ์ฃผํŒŒ์ˆ˜์—์„œ LC PLL์„ ๋™์ž‘ํ•˜๊ธฐ ์œ„ํ•ด, ์ œ์•ˆํ•œ PLL์€ 28GHz๊ฐ€ ์ค‘์‹ฌ ์ฃผํŒŒ์ˆ˜์ธ LC VCO์„ ์‚ฌ์šฉํ•˜์—ฌ, ๊ณผ๋„ ์ƒํƒœ์—์„œ ํŠน์ • ์ž…๋ ฅ ์ฃผํŒŒ์ˆ˜์— ์•Œ๋งž๋Š” ํ”„๋กœ๊ทธ๋žจ ๊ฐ€๋Šฅํ•œdivider์˜ ์ œ์ˆ˜๋ฅผ ๊ณ„์‚ฐํ•œ๋‹ค. ์ œ์ˆ˜์˜ ๊ณ„์‚ฐ์€ ๊ณผ๋„ ์ƒํƒœ์—์„œ ์ž…๋ ฅ ํด๋ฝ์˜ ์ฃผํŒŒ์ˆ˜๋ฅผ ๊ฐ์ง€ํ•˜๋Š” ์ •์ˆ˜ ์นด์šดํ„ฐ์™€ ์†Œ์ˆ˜ ์นด์šดํ„ฐ๋ฅผ ํ†ตํ•ด ์ด๋ฃจ์–ด์ง„๋‹ค. ์ œ์ˆ˜์˜ ๊ณ„์‚ฐ ์ดํ›„, ์ œ์•ˆํ•œ PLL์€ ๋น ๋ฅด๊ฒŒ ๋ฝ์„ ํ•˜๊ธฐ ์œ„ํ•œ ์ตœ์ ์˜ ์ „๋ฅ˜ ๊ฐ’์œผ๋กœ 3์ฐจ์˜ Charge pump PLL๋กœ ๋™์ž‘ํ•œ๋‹ค. ์ œ์•ˆํ•œ PLL์€ systemverilog๋กœ ๊ธฐ์ˆ ๋˜์—ˆ๊ณ  ์‹œ๋ฎฌ๋ ˆ์ด์…˜ ๊ฒฐ๊ณผ ์ œ์•ˆํ•œ LC PLL์€ 1 ~ 4.2GHz์˜ ์ž…๋ ฅ์ฃผํŒŒ์ˆ˜์—์„œ ๋™์ž‘ํ•˜๋ฉฐ, 1us ์ด๋‚ด์—์„œ ์„ฑ๊ณต์ ์œผ๋กœ ๋ฝ์„ ํ•œ๋‹ค. ๋˜ํ•œ, LC-VCO๊ฐ€ 40nm CMOS ๊ณต์ •์—์„œ ์„ค๊ณ„๋˜์—ˆ๊ณ , ์‹œ๋ฎฌ๋ ˆ์ด์…˜ ๊ฒฐ๊ณผ VCO์˜ ํŠœ๋‹ ๋ฒ”์œ„๊ฐ€ ์ค‘์‹ฌ ์ฃผํŒŒ์ˆ˜ 28.2GHz์„ ๊ธฐ์ค€์œผ๋กœ ยฑ9.25%์ด๊ณ , ์ค‘์‹ฌ ์ฃผํŒŒ์ˆ˜์™€ 1.1V ๊ณต๊ธ‰ ์ „์••์—์„œ 26.4mW์˜ ์ „๋ ฅ์„ ์†Œ๋ชจํ•˜๊ณ , phase noise๊ฐ€ 1MHz ์˜คํ”„์…‹์—์„œ -104.86dBc/Hz์ž„์„ ํ™•์ธํ•  ์ˆ˜ ์žˆ์—ˆ๋‹ค.CHAPTER 1 INTRODUCTION 1 1.1 MOTIVATION 1 1.2 THESIS ORGANIZATION 3 CHAPTER 2 BACKGROUND ON LC PLL 4 2.1 BASIS OF PLL 4 2.2 FREQUENCY RANGE AND LOCK TIME OF PLL 11 2.2.1 FREQUENCY RANGE 11 2.2.2 LOCK TIME 13 2.3 BASIS OF LC VCO 15 CHAPTER 3 DESIGN OF LC PLL FOR DDR5 CLOCK BUFFER 18 3.1 DESIGN CONSIDERATION 18 3.2 OVERALL ARCHITECTURE 20 3.3 OPERATION PRINCIPLE 24 3.4 IMPLEMENTATION OF LC VCO 33 3.5 ALTERNATIVE DESIGN CHOICE OF LC PLL FOR DDR5 CLOCK BUFFER 35 CHAPTER 4 SIMULATION RESULT 37 4.1 PLL 37 4.2 LC VCO 42 CHAPTER 5 CONCLUSION 46 BIBLIOGRAPHY 47 ์ดˆ ๋ก 49์„
    • โ€ฆ
    corecore