2 research outputs found

    1.56GHz/0.9V energy-efficient reconfigurable CAM/SRAM using 6T-CMOS bitcell

    No full text
    International audienceContent addressable memory (CAM) performs parallel data search at the cost of high area and power penalty.We propose a high-speed 6T-ReCSAM (Reconfigurable CAM/SRAM) with new energy efficient sensing technique.Proposed implementation is compatible with compact 6T-SRAM foundry bitcells. Test-macro of 8Kb is implemented in 28nmFDSOI CMOS and reaches up to 1.56GHz at 0.9V with 0.13fJ/bit energy consumption per search, giving an improvement of 4.6x[2], 8.3x [3], 5.9x [4] and 14.3x [5] with respect to Ref. [2]-[5]. Similarly, the search speed is improved by 4.2x [2], 3.12x [4], and 6.24x [5]
    corecore