thesis

Bimetallic Thermal Resists for Photomask, Micromachining and Microfabrication

Abstract

Photoresists and photomasks are two of the most critical materials in microfabrication and micromachining industries. As the shift towards shorter wavelength exposure continues, conventional organic photoresists and chromelquartz photomasks start to encounter problems. This thesis investigates and presents an alternative to organic photoresists and chromium photomasks which overcomes their intrinsic problems. A bimetallic thin film, such as BilIn and SnIIn, creates an inorganic thermal resist with many interesting properties. Both experiments and simulations demonstrate that this class of thermal resists can be converted by laser exposure with wavelengths from 213 nm to 830 nm, showing wavelength invariance. Simulations of the projected wavelength response show that BiIIn thermal resist works down to the 1 nm X-ray range. Exposed bimetallic thermal resists can be developed in two different acid solutions with excellent selectivity. A standard etch (RCA2) can strip the unexposed bimetallic film when photoresist rework is needed. Exposed bimetallic films are resistant to Si anisotropic wet etching and fluorine, O2 and chlorine plasma etching. The Bi/In thermal resist is the first reported resist that works for both wet chemical anisotropic Si etching and dry plasma etching. All these features make the bimetallic film a complete thermal resist. Another very important property of bimetallic thin films is the largest change in the optical absorption ever reported in the literature (3.0 OD before exposure and 0.22 OD after exposure, 365 nm), with the exposed areas becoming nearly transparent. The transmission of the exposed films depends on the laser writing power. Thus, BilIn resist and its class can be utilized as a direct-write photomask material for both binary and grayscale photomasks. Binary photomasks and grayscale photomasks were successfully created. 2D and 3D structures were successfully generated in Shipley organic photoresists using a mercury lamp mask aligner with exposure conditions identical to those for conventional chrome masks. Material analyses show that the transformation after laser exposure of bimetallic thermal resists is an oxidation process. Laser-converted BilIn and S n h oxides have a structure similar to that of indium tin oxide films

    Similar works