A Single-ended Simultaneously Bidirectional Transceiver for Ultra-short Reach Die to Die Links

Abstract

The analysis and design of a Single-ended Simultaneous Bidirectional Transceiver for ultra-short reach die-to-die digital links is presented in this thesis. A novel passive hy- brid circuit is presented which allows for the rejection of near-end transmit data while simultaneously receiving far-end transmit data. A transceiver was fabricated in a 28nm CMOS SOI technology as a proof of concept. A custom organic substrate BGA pack- age was designed to house two transceiver dies and a 5mm stripline between them. An aggregate datarate of 40Gb/s (20Gb/s in each direction) was achieved between the two dies, at a power eciency of 0.8pJ/bit, in RC-extracted simulation.M.A.S

    Similar works