Additive and Digital Fabrication of 3D Interconnects in MEMS Packaging Using Printing Technologies

Abstract

With the introduction of electrically functional inks and continuous development of printing equipment, the application of printed electronics in the fabrication of electronic circuits, structures and devices has been steadily growing over recent years. Among printed electronic methods, digital printing has the potential to be integrated within silicon-based microelectronics such as microelectromechanical devices (MEMS). Currently, MEMS manufacturing and packaging involves subtractive processes like lithography which are time consuming and need extensive processing conditions and expensive facilities.This thesis investigates the feasibility of using printing technologies for the selected parts of the MEMS packaging. Additive, maskless and non-contact printing technologies are digitally controlled by computer and have the potential to reduce turnaround time compared with lithographic processes. These methods could be used for the substrate with any morphology and composition; and also enable the deposition of functional inks with high level of precision on designated places defined by the graphics or codes prepared by the computer software. In summary, printing technologies provide the possibility to reduce harmful waste, consumption of the material and chemicals, process steps, and process time compared to subtractive processes.The focus of this research has been on the fabrication of the 3D interconnects in parts of the MEMS packaging. The fabrication methods used in this work are piezo drop on demand (DOD) inkjet printing, super-fine inkjet technology (SIJ) and aerosol jet printing (AJP). Also, a combination of metal nanoparticle and dielectric inks have been used for the experiments reported in this thesis. The reported results show promising potential for additive methods to be used in electronics manufacturing in coming years with more developments and refinements in inkjettable functional materials and printing devices. In this thesis inkjet printing is utilized to fabricate 3D interconnects by the partial metallization of through silicon vias (TSVs). The via metallization could result in low resistance vias suitable for some MEMS applications. Complete filling of high density vias with diameters of less than 30 µm using super-fine inkjet technology is also demonstrated. As well, aerosol jet printing is successfully used to make a conductive bridge with low resistance between the device layer and handle wafer in silicon on insulator (SOI) MEMS. In addition, inkjet printing technologies are used to fill the TSVs to planarize wafer surface which enables placement of solder balls on top of the TSVs and increasing the I/O density of 3D TSV interposers by four times without using the next generation of TSV nodes. Moreover, successful fabrication of silver micropillars/bumps with inkjet printing is demonstrated for use in flip-chip fabrication methods instead of using stud bumps fabricated by wire bonding. The results indicate that the bare dies with the printed bumps can increase the contact reliability of flip-chip bonded samples

    Similar works