Thickness and Post-annealing Effects of the Sputtered La-Capping Layer Inserted between the TiN Gate and Hf-Based Dielectrics

Abstract

We investigated effects of the sputtered La-capping layer inserted between TiN and Hf-based dielectrics, HfO<sub>2</sub> and HfSiO<sub>4</sub>/HfO<sub>2</sub>, mainly focusing on effective work function (EWF) and equivalent oxide thickness (EOT) changes by modulation of its thickness and post-metal annealing (PMA). The use of thin La capping up to 5 Å showed a linear flatband voltage (<i>V</i><sub>FB</sub>) shift of −60 mV/Å, regardless of gate dielectrics. However, with the increase of the La thickness, a slight increase in EOT was observed for HfO<sub>2</sub>, whereas a negligible change in EOT was shown for the HfSiO<sub>4</sub>/HfO<sub>2</sub> bilayer. It might be ascribed to the facile La oxidation, which acts as an additional oxide layer on both of the gate dielectrics. Meanwhile, high-temperature PMA exhibited slight reduction in <i>V</i><sub>FB</sub> as well as an EOT increase for both of the Hf-based dielectrics. On the basis of X-ray photoelectron spectroscopy (XPS) results, the reason for the slightly decreased EWF resulted from two competing dipoles formed by movements of oxygen vacancies (V<sub>O</sub>) and La atoms during the PMA. Additionally, oxygen affinity and diffusion of the La-capping layer on both of the gate dielectrics are further discussed in conjunction with thermodynamic analyses, and thereby, schematic energy band diagrams were proposed by taking into account competing dipole layers by V<sub>O</sub> movement and La diffusion

    Similar works

    Full text

    thumbnail-image

    Available Versions