8 research outputs found

    Low temperature hydrogen plasma-assisted atomic layer deposition of copper studied using in situ infrared reflection absorption spectroscopy

    No full text
    \u3cp\u3eAtomic layer deposition (ALD) is an ideal technique to deposit ultrathin, conformal, and continuous metal thin films. However, compared to the ALD of binary materials such as metal oxides and metal nitrides, the surface reaction mechanisms during metal ALD are not well understood. In this study, the authors have designed and implemented an in situ reflection-absorption infrared spectroscopy (IRAS) setup to study the surface reactions during the ALD of Cu on Al\u3csub\u3e2\u3c/sub\u3eO\u3csub\u3e3\u3c/sub\u3e using Cu hexafluoroacetylacetonate [Cu(hfac) \u3csub\u3e2\u3c/sub\u3e] and a remote H\u3csub\u3e2\u3c/sub\u3e plasma. Our infrared data show that complete ligand-exchange reactions occur at a substrate temperature of 80 °C in the absence of surface hydroxyl groups. Based on infrared data and previous studies, the authors propose that Cu(hfac)\u3csub\u3e2\u3c/sub\u3e dissociatively chemisorbs on the Al\u3csub\u3e2\u3c/sub\u3eO\u3csub\u3e3\u3c/sub\u3e surface, where the Al-O-Al bridge acts as the surface reactive site, leading to surface O-Cu-hfac and O-Al-hfac species. Surface saturation during the Cu(hfac)\u3csub\u3e2\u3c/sub\u3e half-cycle occurs through blocking of the available chemisorption sites. In the next half-reaction cycle, H radicals from an H\u3csub\u3e2\u3c/sub\u3e plasma completely remove these surface hfac ligands. Through this study, the authors have demonstrated the capability of in situ IRAS as a tool to study surface reactions during ALD of metals. While transmission and internal reflection infrared spectroscopy are limited to the first few ALD cycles, IRAS can be used to probe all stages of metal ALD starting from initial nucleation to the formation of a continuous film.\u3c/p\u3

    Atomic layer deposition of molybdenum oxide from (NtBu)2(NMe2)2Mo and O2 plasma

    Get PDF
    Molybdenum oxide (MoO x ) films have been deposited by atomic layer deposition using bis(tert-butylimido)-bis(dimethylamido)molybdenum and oxygen plasma, within a temperature range of 50–350¿°C. Amorphous film growth was observed between 50 and 200¿°C at a growth per cycle (GPC) around 0.80¿Å. For deposition temperatures of 250¿°C and higher, a transition to polycrystalline growth was observed, accompanied by an increase in GPC up to 1.88¿Å. For all deposition temperatures the O/Mo ratio was found to be just below three, indicating the films were slightly substoichiometric with respect to MoO3 and contained oxygen vacancies. The high purity of the films was demonstrated in the absence of detectable C and N contamination in Rutherford backscattering measurements, and a H content varying between 3 and 11 at. % measured with elastic recoil detection. In addition to the chemical composition, the optical properties are reported as well

    The effect of residual gas scattering on Ga ion beam patterning of graphene

    No full text
    The patterning of graphene by a 30 kV Ga+focused ion beam(FIB) is studied by in-situ and ex-situRaman spectroscopy. It is found that the graphene surrounding the patterned target area can be damaged at remarkably large distances of more than 10 μm. We show that scattering of the Ga ions in the residual gas of the vacuum system is the main cause of the large range of lateral damage, as the size and shape of the tail of the ion beam were strongly dependent on the system background pressure. The range of the damage was therefore greatly reduced by working at low pressures and limiting the total amount of ions used. This makes FIB patterning a feasible alternative to electron beam lithography as long as residual gas scattering is taken into accoun

    Synthesis of single-walled carbon nanotubes from atomic-layer-deposited Co3O4 and Co3O4/Fe2O3 catalyst films

    No full text
    We have investigated the synthesis of single-walled carbon nanotubes (SWCNTs) employing Co3O4 films prepared by atomic layer deposition (ALD). These films dewet into Co catalyst nanoparticles in the furnace before starting CNT growth by chemical vapor deposition (CVD). The facile Co3O4 ALD process allows for excellent film thickness control and very reproducible growth of high quality SWCNTs even from ultrathin, single-digit cycle ALD films. We demonstrate CNT growth on planar and 3-dimensional geometries. A detailed study using a combination of Raman spectroscopy as well as scanning and transmission electron microscopy reveals that the density and diameter distribution of the catalyst particles and resulting CNTs can be controlled by the number of ALD cycles. Moreover, we demonstrate straightforward preparation of Fe/Co bimetallic catalysts by mixing ALD processes of Co3O4 and Fe2O3. Finally, the wide temperature window of Co3O4 ALD allows for patterning of the catalyst via standard electron-beam lithography, as the deposition temperature is low enough to prevent resist reflowing. We conclude that ALD is an ideal technique to deposit Co3O4 catalyst films for SWCNT synthesis in a well-controlled manner, with several advantages over other materials and deposition techniques

    Resist-free fabricated carbon nanotube field-effect transistors with high-quality atomic-layer-deposited platinum contacts

    Get PDF
    \u3cp\u3eCarbon nanotubes are considered as alternative channel material for future transistors, but several challenges exist for reliable fabrication of these devices. In this work, carbon nanotube field-effect transistors (CNTFETs) were fabricated by patterning of Pt contacts using a combination of electron beam induced deposition and area-selective atomic layer deposition (ALD). This bottom-up technique eliminates compatibility issues caused by the use of resist films and lift-off steps. Electrical characterization of a set of 33 CNTFETs reveals that using this direct-write ALD process for Pt patterning yields improved contacts as compared to evaporated Pt, most likely due to improved wettability of the contacts on the carbon nanotube. Moreover, these CNTFETs can be characterized as unipolar p-type transistors with a very low off-state current.\u3c/p\u3

    Area-selective atomic layer deposition of metal oxides on noble metals through catalytic oxygen activation

    No full text
    Area-selective atomic layer deposition (ALD) is envisioned to play a key role in next-generation semiconductor processing and can also provide new opportunities in the field of catalysis. In this work, we developed an approach for the area-selective deposition of metal oxides on noble metals. Using O2 gas as co-reactant, area-selective ALD has been achieved by relying on the catalytic dissociation of the oxygen molecules on the noble metal surface, while no deposition takes place on inert surfaces that do not dissociate oxygen (i.e., SiO2, Al2O3, Au). The process is demonstrated for selective deposition of iron oxide and nickel oxide on platinum and iridium substrates. Characterization by in situ spectroscopic ellipsometry, transmission electron microscopy, scanning Auger electron spectroscopy, and X-ray photoelectron spectroscopy confirms a very high degree of selectivity, with a constant ALD growth rate on the catalytic metal substrates and no deposition on inert substrates, even after 300 ALD cycles. We demonstrate the area-selective ALD approach on planar and patterned substrates and use it to prepare Pt/Fe2O3 core/shell nanoparticles. Finally, the approach is proposed to be extendable beyond the materials presented here, specifically to other metal oxide ALD processes for which the precursor requires a strong oxidizing agent for growth

    Graphene devices with bottom-up contacts by area-selective atomic layer deposition

    No full text
    \u3cp\u3eGraphene field-effect transistor devices were fabricated using a bottom-up and resist-free method, avoiding common compatibility issues such as contamination by resist residues. Large-area CVD graphene sheets were structured into device channels by patterning with a focused ion beam. Platinum contacts were then deposited by direct-write atomic layer deposition (ALD), which is a combination between electron beam induced deposition (EBID) and bottom-up area-selective ALD. This is a unique approach that enables nucleation of Pt ALD on graphene, and therefore these devices are the first reported graphene devices with contacts deposited by ALD. Electrical characterization of the devices confirms ambipolar transistor behaviour with typical field-effect mobilities in the range of 1000-1800 cm2 V-1 s-1. We observe clear signs of strong Pt-graphene coupling and contact induced hole doping, implying good contact properties in contrast to the conventionally weak bonding between Pt and graphene. We attribute these observations to the reduced amount of resist residue under the contacts, the improved wettability of the Pt due to the use of ALD, and the formation of a graphitic interlayer that bonds the Pt more strongly to the graphene. We conclude that direct-write ALD is a very suitable technique for metallization of graphene devices and to study the intrinsic properties of metal-graphene contacts in more detail. In addition, it offers unique opportunities to control the metal-graphene coupling strength.\u3c/p\u3

    Atomic layer deposition of Pd and Pt nanoparticles for catalysis:on the mechanisms of nanoparticle formation

    No full text
    The deposition of Pd and Pt nanoparticles by atomic layer deposition (ALD) has been studied extensively in recent years for the synthesis of nanoparticles for catalysis. For these applications, it is essential to synthesize nanoparticles with well-defined sizes and a high density on large-surface-area supports. Although the potential of ALD for synthesizing active nanocatalysts for various chemical reactions has been demonstrated, insight into how to control the nanoparticle properties (i.e. size, composition) by choosing suitable processing conditions is lacking. Furthermore, there is little understanding of the reaction mechanisms during the nucleation stage of metal ALD. In this work, nanoparticles synthesized with four different ALD processes (two for Pd and two for Pt) were extensively studied by transmission electron spectroscopy. Using these datasets as a starting point, the growth characteristics and reaction mechanisms of Pd and Pt ALD relevant for the synthesis of nanoparticles are discussed. The results reveal that ALD allows for the preparation of particles with control of the particle size, although it is also shown that the particle size distribution is strongly dependent on the processing conditions. Moreover, this paper discusses the opportunities and limitations of the use of ALD in the synthesis of nanocatalysts
    corecore