6 research outputs found

    Etude de la distribution des composés humiques le long de deux profils sédimentaires continentaux

    No full text
    Le couplage des méthodes de fractionnement granulométrique organo-minéral d'un sédiment et de l'extraction alcaline de ses composés humiques a permis d'étudier la répartition à la fois qualitative et quantitative du carbone dans les différentes fractions de la vase de l'étang des Noës (Yvelines) et de la rivière le Réveillon (Val de Marne). La comparaison des deux milieux sédimentaires, biologiquement et texturalement dissemblables, a mis en évidence que les processus d'humification et de minéralisation évoluent spatialement de façon différente, comme en témoigne la dégradation différenciée des matières organiques. Les sédiments de l'étang des Noës présentent une évolution de l'humification vers la profondeur, où l'on constate une forte production d'acides humiques, composés fortement polymérisés. En revanche, dans les sédiments du Réveillon, l'humification, prépondérante dès la strate superficielle, subit un blocage dans la strate profonde qui se traduit par une faible production de composés humiques. La méthodologie employée permet de constater une distribution des substances humiques et de formuler des hypothèses quant à l'évolution des sédiments étudiés

    A Hexagonal Processor and Interconnect Topology for Many-Core Architecture with Dense On-Chip Networks

    No full text
    International audienceNetwork-on-Chips (NoCs) are used to connect large numbers of processors in many-core processor architecture because they perform better than less scalable methods such as global shared buses. Among all NoC design parameters, NoC topologies define how nodes are placed and connected and greatly affect the performance, energy efficiency, and circuit area of many-core processor arrays. Due to its simplicity and the fact that processor tiles are traditionally square or rectangular, 2D mesh is mostly used for existing on-chip networks. However, efficiently mapping applications can be a challenge for cases that require communication between processors that are not adjacent on the 2D mesh. Motivated by the fact that applications often have largely localized communication patterns, we have proposed an 8-neighbor mesh topology and a 6-neighbor topology with hexagonal-shaped processor tiles, both of which increase local connectivity while keep much of the simplicity of a mesh-based topology. We have physically designed a 16-bit DSP processor and the corresponding processor arrays which utilize all three topologies. A 1080p H.264/AVC residual video encoder and a 54 Mbps 802.11a/11g OFDM wireless LAN baseband receiver are mapped onto all topologies. The 6-neighbor hexagonal grid topology incurs a 2.9% area increase per tile compared to the 4-neighbor 2D mesh, but its much more effective inter-processor interconnect yields an average total application area reduction of 21%, an average power reduction of 17%, and a total application inter-processor communication distance reduction of 19%
    corecore