50 research outputs found

    Plasma cryogenic etching of silicon: from the early days to today's advanced technologies

    No full text
    International audienceThe evolution of silicon cryoetching is reported in this topical review, from its very first introduction by a Japanese team to today's advanced technologies. The main advances in terms of the performance and comprehension of the mechanisms are chronologically presented. After presenting the principle of silicon cryoetching, the main defects encountered in cryoetching (such as undercut, bowing and crystal orientation dependent etching) are presented and discussed. Mechanisms involved in SiOxFy passivation layer growth in standard cryoetching are investigated through several in situ characterization experiments. The STiGer process and alternative cryoetching processes for high-aspect-ratio structures are also proposed to enhance the process robustness. The over-passivation regime, which can provide self-organized columnar microstructures, is presented and discussed. Finally, advanced technologies, such as the cryoetching of sub-20 nm features and porous OSG low-k cryoetching, are described

    Plasma surface interactions in deep dry etching

    No full text
    International audienc

    The passivation layer formation in the cryo-etching plasma process

    No full text
    International audienceThe growth and destruction of the SiOxFy passivation layer is investigated in the so-called cryogenic process used for silicon etching. We show that etching products (SiF4) can play an important role in its formation. It can explain why overpassivating regime characterized by the appearance of black silicon is preferentially obtained in large structures. Test experiments clearly show that both SiFx and O radicals are necessary to create the passivation layer. By separating SiF4 plasma and O2 plasma, we could conclude that the reaction mainly occurred at the sidewalls of the structure. If we increase the power of the oxygen plasma, the passivation layer can be reinforced. Finally, we grew the passivation layer on a flat surface by using a system of electrostatic grids to get rid of ions and electrons in order to enhance the deposition on the surface. Ellipsometric analysis is reported during the growth of the passivation layer and its destruction, which occurs when the wafer is warmed back up to ambient temperature

    Comparison between Bosch and STiGer Processes for Deep Silicon Etching

    No full text
    The cryogenic process is well known to etch high aspect ratio features in silicon with smooth sidewalls. A time-multiplexed cryogenic process, called STiGer, was developed in 2006 and patented. Like the Bosch process, it consists in repeating cycles composed of an isotropic etching step followed by a passivation step. If the etching step is similar for both processes, the passivation step is a SiF4/O2 plasma that efficiently deposits a SiOxFy layer on the sidewalls only if the substrate is cooled at cryogenic temperature. In this paper, it is shown that the STiGer process can achieve profiles and performances equivalent to the Bosch process. However, since sidewall passivation is achieved with polymer free plasma chemistry, less frequent chamber cleaning is necessary, which contributes to increase the throughput

    Silicon cryo-etching of deep holes

    No full text
    International audienceThe cryogenic process is used to drill 400 um thick silicon wafers. It is first studied on single side masked substrates. Holes of 14 um in diameter are 210 um deep after 30 min, representing an average etch rate as high as 7 um/min. This process enables the drilling of holes of 12 um in diameter within 1 h 06 min. We investigate the effect of the substrate temperature and show that the process is very sensitive to this parameter. It is the main issue in cryo-etching. We use a recent cryogenic chuck with a good temperature uniformity. This last point was characterized using a new method we developed. It is based on the dependencies of columnar microstructures dimensions on the temperature. We have shown that the maximum temperature variation is less than 2 C on the cryogenic chuck
    corecore