11 research outputs found

    Reduction of impulsive noise in color image using an Adaptive Vector Distance Directional Filter

    No full text
    International audienceIn this paper, multichannel image processing using an adaptive approach is the subject of our study. Thus, we have proposed a new Adaptive Vector Directional Distance Filter (VDDF). Simulation results illustrate that this new proposed filter outperforms the classic Vector Directional Distance Filterwhen images are contaminated with impulsive noise. In addition, efficiency of this filter is proven since it provides an excellent balance between the noise attenuation and the signal-details preservation

    IMPULSIVE NOISE DIMINUTION USING NEW ADAPTIVE VECTOR MEDIAN RATIONAL HYBRID FILTER

    No full text
    International audienc

    A new hardware architecture of the adaptive vector median filter and validation in a hardware/software environment

    No full text
    International audiencePresented in this paper is a new hardware architecture of the adaptive vector median filter (AVMF). The suggested structure yielded important values in impulsive noise removal from color images while preserving their fine details. The software (SW) study of this filter demonstrated that its implementation is too complex. To overcome this limitation, some approximations using a ROM memory were proposed to perform the square root for a hardware (HW) implementation. Comparative results between the ideal and approximated SWs of the AVMF showed a relative error equal to 0.01%. Then, sequential and parallel HW architectures were developed for this filter based on the approximated method. Finally, the validation of these architectures was conducted using an field-programmable gate array (FPGA) platform on an HW/SW environment. The validation results demonstrated that the proposed HW/SW implementation of the AVMF can speed up the execution time 180 times in the worst case at 140 MHz compared to the SW solution as well as preserving a high data quality (same image quality)

    Reconfigurable architecture of VDF filter for multidimensional data

    No full text
    International audienceIn this paper, we present a hardware reconfigurable architecture of vector directional filter (VDF) and an experimental validation based on HW/SW implementation context. An FPGA with a Nios II processor combines the benefits of a programmable logic component as well as a microprocessor. VDF is very useful in multidimensional data (such as color images) for noise removal and details preservation. Comparative results between simulations of ANSI-C and hardware implementation are given. An estimate method of nonlinear function is presented and serves as an approximation for the appropriate hardware implementation on FPGA. Finally, to verify the functionality of the implementation, a validation state using FPGA platform has been performed. This validation demonstrated that our implementation hardware system speeds up the filtering process as well as preserving a high data quality (image quality

    Complexity study of the Gamma correction method for text extraction from complex images

    No full text
    International audienceIn this paper, we present a complexity study of the Gamma correction method for automatic text extraction from complex images. This study is based on the build of a simple and adequate algorithm for future hardware solution. Then, a profiling study for each function of the proposed solution was done. After validation, using C/C++ environment, a comparison with the OpenCV based algorithm developed by ESIEE Paris was performed. We show a clear improvement in the run time. Profiling and experimental results, using diverse images prove that our study achieves an excellent balancebetween simplicity, precision, and computational speed.This study will be operated in the future work of hardware implementation

    IMPLEMENTATION D’UN SYSTEME MATERIEL/LOGICIEL POUR LE FILTRAGE DES IMAGES COULEURS

    No full text
    International audienceCet article est consacré à l'étude et à la réalisation d’un système sur puce programmable (SoPC) de filtrage pourles images en couleurs. De part leurs bonnes performances, leur efficacité dans la conservation des détails de l’imagenous nous sommes intéressés aux filtres non linéaires, et plus particulièrement au filtre VDDRHF (Vector DistanceDirectional Rational Hybrid Filter), de point de vu implémentation matérielle suivi d’une validation dans un contexteHW/SW. Nous montrons, par une approche de conception conjointe (matérielle et logicielle), qu’il est possibled’obtenir un filtre flexible et performant. Ce type de filtre non linéaire peut être mis en application dans quelquessystèmes de traitement d’images nécessitant l’amélioration de la qualité des images en couleurs comme les imagesmédicales

    HW/SW Design And FPGA Implementation Of The GCM For An Efficient Text Extraction From Complex Images

    No full text
    International audienceThe Gamma Correction Method GCM is used as an important task in the text extraction process. In this paper, we propose a co-design implementation of the GCM using an FPGA board. This HW/SW implementation uses VHDL and C language to build a real embedded system validation. The implementation methodology performs an optimized run time of the GCM for text extraction in complex images. Our experiments are based on an FPGA HW/SW board. The obtained results showed that the proposed method can help to improve the performance of the GCM for text extraction by using the NiosII processor and hardware custom instructions. The proposed architecture enables to gain of 35% of the run time
    corecore