65 research outputs found

    Integrated through-silicon-via-based inductor design in buck converter for improved efficiency

    Get PDF
    Introduction. Through-silicon-via (TSV) is one of the most important components of 3D integrated circuits. Similar to two-dimensional circuits, the performance evaluation of 3D circuits depends on both the quality factor and inductance. Therefore, accurate TSV-inductor modeling is required for the design and analysis of 3D integrated circuits. Aim. This work proposes the equivalent circuit model of the TSV-inductor to derive the relations that determine both the quality factor and the inductance by Y-parameters. Methods. The model developed was simulated using MATLAB software, and it was used to evaluate the effect of redistribution lines width, TSV radius, and the number of turns on inductance and quality factor. Additionally, a comparative study was presented between TSV-based inductors and conventional inductors (i.e., spiral and racetrack inductors). Results. These studies show that replacing conventional inductors with TSV-inductors improved the quality factor by 64 % compared to a spiral inductor and 60 % compared to a racetrack inductor. Furthermore, the area of the TSV-inductor was reduced up to 1.2 mm². Using a PSIM simulator, the application of an integrated TSV-inductor in a buck converter was studied, and the simulation gave very good results in 3D integration compared to 2D integration. Moreover, the simulation results demonstrated that using a TSV-inductor in a buck converter could increase its efficiency by up to 15 % and 6 % compared to spiral and racetrack inductors, respectively.Вступ. Наскрізне з’єднання кремнію (TSV) є одним з найважливіших компонентів тривимірних інтегральних схем. Подібно до двовимірних схем, оцінка продуктивності тривимірних схем залежить як від добротності, так і від індуктивності. Тому для проєктування та аналізу тривимірних інтегральних схем необхідне точне моделювання TSV-індуктора. Мета. У цій роботі пропонується еквівалентна модель схеми TSV-індуктора для виведення співвідношень, що визначають як добротність, так і індуктивність за Y-параметрами. Методи. Розроблена модель була змодельована з використанням програмного забезпечення MATLAB та використана для оцінки впливу ширини ліній перерозподілу, радіусу TSV та кількості витків на індуктивність та добротність. Крім того, було представлено порівняльне дослідження між індукторами на основі TSV та звичайними індукторами (тобто спіральними та індукторами типу бігова доріжка). Результати. Ці дослідження показують, що заміна звичайних індукторів на TSV-індуктори покращила добротність на 64 % порівняно зі спіральним індуктором і на 60 % порівняно з індуктором типу бігова доріжка. Крім того, площа TSV-індуктора була зменшена до 1,2 мм². За допомогою симулятора PSIM було вивчено застосування вбудованого дроселя TSV в знижувальному перетворювачі, і моделювання дало дуже хороші результати при 3D-інтеграції порівняно з 2D-інтеграцією. Більш того, результати моделювання показали, що використання TSV-індуктора в понижувальному перетворювачі дозволяє підвищити його ефективність до 15% та 6 % порівняно зі спіральними індукторами та індукторами типу бігова доріжка відповідно

    Green on-chip inductors in three-dimensional integrated circuits

    Get PDF
    This thesis focuses on the technique for the improvement of quality factor and inductance of the TSV inductors and then on the utilization of TSV inductors in various on-chip applications such as DC-DC converter and resonant clocking. Through-silicon-vias (TSVs) are the enabling technique for three-dimensional integrated circuits (3D ICs). However, their large area significantly reduces the benefits that can be obtained by 3D ICs. On the other hand, a major limiting factor for the implementation of many on-chip circuits such as DC-DC converters and resonant clocking is the large area overhead induced by spiral inductors. Several works have been proposed in the literature to make inductors out of idle TSVs. In this thesis, the technique to improve the quality factor and inductance is proposed and then discusses about two applications utilizing TSV inductors i.e., inductive DC-DC converters and LC resonant clocking. The TSV inductor performs inferior to spiral inductors due to its increases losses. Hence to improve the performance of the TSV inductor, the losses should be reduced. Inductive DC-DC converters become prominent for on-chip voltage conversion because of their high efficiency compared with other types of converters (e.g. linear and capacitive converters). On the other hand, to reduce on-chip power, LC resonant clocking has become an attractive option due to its same amplitude and phases compared to other resonant clocking methods such as standing wave and rotary wave. A major challenge for both applications is associated with the required inductor area. In this thesis, the effectiveness of such TSV inductors in addressing both challenges are demonstrated --Abstract, page iv

    Optimization and analysis of PwrSoC Buck converter with integrated passives for automotive application

    Full text link
    Current trends in automotive industry impose as main drivers the improvement of the efficiency and the miniaturization of the electronic systems. New technologies for passives enable the integration of inductor based power converter together with the load in a single chip. Due to the complexity of the system and various constraints, multi-variable optimization needs to be employed. This study presents an energy-based piece-wise linear model for switches losses estimation for 40 nm automotive approved semiconductor technology used for implementation of PwrSoC buck converter system. The model, based on discrete number of calculations performed with Spice simulations, is presented in detail in this study and it is validated experimentally

    High-Q 3D Microfabricated Magnetic-core Toroidal Inductors for Power Supplies in Package

    Get PDF
    Integration of power inductors is a roadblock in realizing highly miniaturized power supply in package (PwrSiP) and power supply on chip (PwrSoC). Inductors in such power system are used for energy storage and filtering, but they dominate in size and loss. This paper presents a novel 3D through-silicon via (TSV) magnetic-core toroidal inductor for PwrSiP. The magnetic-powder-based core is embedded into TSV air-core inductor using a casting method. The unique air-core inductor design with a hollow core and suspended windings enable a complete core filling with microscale magnetic powders. TSV magnetic-core inductors are fabricated in a compact size of 2.4 x 2.4 x 0.28 mm with the core content varying from 63 to 88 weight percent of soft ferrite NiZn powders. Small-signal measurements show a three-fold higher inductance of 112 nH and a 30% higher quality factor of 14.3 at 12.5 MHz for TSV magnetic-core inductors compared to similar TSV air-core inductors. The results are verified by the modelled results. The total core loss is characterized by large-signal measurements. A suitable inductor is implemented in a zero-voltage-switching 12-MHz buck converter. The converter achieves a peak efficiency of 72% and the output power of 2.4 W converting 12 to 5 VDC

    High-Q three-dimensional microfabricated magnetic-core toroidal inductors for power supplies in package

    Get PDF
    The integration of power inductors is a roadblock in realizing highly miniaturized power supply in package (PSiP) and power supply on chip. Inductors in such power systems are used for energy storage and filtering, but they dominate in size and loss. This paper presents a novel three-dimensional in-silicon through-silicon via (TSV) magnetic-core toroidal inductor for PSiP. The magnetic powder based core is embedded into a TSV air-core inductor using a casting method. The unique air-core inductor design with a hollow core and suspended windings enables a complete core filling with microscale magnetic powders. The proposed casting method is simple, scalable, and generic for a wide range of magnetic powders. TSV magnetic-core inductors are fabricated in a compact size of 2.4 mm × 2.4 mm × 0.28 mm with the core content varying from 63 to 88 wt% of soft ferrite NiZn powders. The TSV magnetic-core toroidal inductors are fabricated and electrically characterized. Small-signal measurements show a threefold higher inductance of 112 nH and a 30% higher quality factor of 14.3 at 12.5 MHz for magnetic-core inductors compared with similar TSV air-core inductors. The small-signal measurement results are verified by the modeled results. The total core loss is characterized by large-signal measurements. A suitable inductor is implemented in a 12-MHz buck converter that operates in a zero-voltage-switching mode. The converter achieves a peak efficiency of 71.6% and an output power of 2.4 W while converting 12 to 5 V dc

    3D ICs: An Opportunity for Fully-Integrated, Dense and Efficient Power Supplies

    Get PDF
    International audienceWith 3D technologies, the in-package solution allows integrated, efficient and granular power supplies to be designed for multi-core processors. As the converter design obtains few benefits from the scaling, 3DIC allows the best technology to be chosen i.e. one which suits the DC-DC converter design. This paper evaluates the achievable power efficiency between on-die and in-package converters using a combination of active (28 and 65nm CMOS nodes) and passive (poly, MIM, vertical capacitor) layers. Based on the same load power consumption, on-die and in-package switched capacitor converters achieve 65% and 78% efficiency, respectively, in a 1mm 2 silicon area. An additional high density capacitance layer (100nF/mm 2) improves efficiency by more than 20 points in 65nm for the same surface which emphasizes the need for dedicated technology for better power management integration. This paper shows that in-package power management is a key alternative for fully-integrated, dense and efficient power supplies

    Miniaturization of high frequency power converters

    Get PDF

    Characterizing and modeling methods for power converters

    Get PDF
    “Stable power delivery is becoming increasingly important in modern electronic devices, especially in applications with stringent requirements of its form factor. With the evolution of technology, the switching frequency in a power converter is pushed to a higher frequency range, e.g., several MHz or even higher, to decrease its size. However, the loss generated in the converter increases drastically due to the high switching frequency. In addition, a wide-band feedback controller is required to accommodate the high switching frequency in the converter. We focus on the characterization or modeling of the feedback control circuits and critical components in a switching power converter. A transient-simulation-oriented averaged continuous-time model is proposed to evaluate the transient output noise of a buck converter. The proposed modeling method is developed with time-domain waveforms, which enables a generalized modeling framework for current-mode controllers with constant and nonconstant switching frequencies. In this work, we mainly focus on characterization for two types of components: the switching components, including Si MOSFETs and GaN High-electron-mobility transistor (HEMT), and the magnetic core in an inductor. For the characterization of switching components, a set of test fixtures are designed to characterize the equivalent circuit of Si MOSFETs and GaN HEMTs. The frequency-dependent behaviors of Si MOSFETs are observed, which invalidate the conventional modeling methods for MOSFETs, especially for radiated emission (RE) prediction. For the characterization of magnetic cores, two different probe calibration methods are demonstrated. Accurate phase discrepancy characterization is allowed with the proposed method, which overcomes the main limitation in the conventional two-winding method. In addition, the proposed method supports wide-band loss measurement without resonance tuning, which supports core loss measurement for non-sinusoidal excitation”--Abstract, page iv

    Trough-silicon-via inductor: Is it real or just a fantasy?

    Get PDF
    Through-silicon-vias (TSVs) can potentially be used to implement inductors in three-dimensional (3D) integrated system for minimal footprint and large inductance. However, different from conventional 2D spiral inductor, TSV inductors are buried in lossy substrate, thus suffering from low quality factors. This thesis presents how various process and design parameters affect their performance. A few interesting phenomena that are unique to TSV inductors are observed. We then proposed a novel shield mechanism utilizing the micro-channel, a technique conventionally used for heat removal, to reduce the substrate loss. The technique increases the quality factor and inductance of the TSV inductor by up to 21x and 17x respectively. It enables us to implement TSV inductors of up to 38x smaller area and 33% higher quality factor, compared with spiral inductors of the same inductance. To the best of the authors\u27 knowledge, this is the very first in-depth study on TSV inductors. We hope our study shall point out a new and exciting research direction for 3D IC designers --Abstract, page iii
    corecore