24,069 research outputs found

    Computationally efficient characterization of standard cells for statistical static timing analysis

    Get PDF
    Thesis (M. Eng.)--Massachusetts Institute of Technology, Dept. of Electrical Engineering and Computer Science, 2009.This electronic version was submitted by the student author. The certified thesis is available in the Institute Archives and Special Collections.Includes bibliographical references (p. 44-45).We propose a computationally efficient statistical static timing analysis (SSTA) technique that addresses intra-die variations at near-threshold to sub-threshold supply voltage, simulated on a scaled 32nm CMOS standard cell library. This technique would characterize the propagation delay and output slew of an individual cell for subsequent timing path analyses. Its efficiency stems from the fact that it only needs to find the delay or output slew in the vicinity of the ?- sigma operating point (where ? = 0 to 3) rather than the entire probability density function of the delay or output slew, as in conventional Monte-Carlo simulations. The algorithm is simulated on combinational logic gates that include inverters, NANDs, and NORs of different sizes. The delay and output slew estimates in most cases differ from the Monte-Carlo results by less than 5%. Higher supply voltage, larger transistor widths, and slower input slews tend to improve delay and output slew estimates. Transistor stacking is found to be the only major source of under-prediction by the SSTA technique. Overall, the cell characterization approach has a substantial computational advantage compared to SPICE-based Monte-Carlo analysis.by Sharon H. Chou.M.Eng

    Modeling of thermally induced skew variations in clock distribution network

    Get PDF
    Clock distribution network is sensitive to large thermal gradients on the die as the performance of both clock buffers and interconnects are affected by temperature. A robust clock network design relies on the accurate analysis of clock skew subject to temperature variations. In this work, we address the problem of thermally induced clock skew modeling in nanometer CMOS technologies. The complex thermal behavior of both buffers and interconnects are taken into account. In addition, our characterization of the temperature effect on buffers and interconnects provides valuable insight to designers about the potential impact of thermal variations on clock networks. The use of industrial standard data format in the interface allows our tool to be easily integrated into existing design flow

    Architectural level delay and leakage power modelling of manufacturing process variation

    Get PDF
    PhD ThesisThe effect of manufacturing process variations has become a major issue regarding the estimation of circuit delay and power dissipation, and will gain more importance in the future as device scaling continues in order to satisfy market place demands for circuits with greater performance and functionality per unit area. Statistical modelling and analysis approaches have been widely used to reflect the effects of a variety of variational process parameters on system performance factor which will be described as probability density functions (PDFs). At present most of the investigations into statistical models has been limited to small circuits such as a logic gate. However, the massive size of present day electronic systems precludes the use of design techniques which consider a system to comprise these basic gates, as this level of design is very inefficient and error prone. This thesis proposes a methodology to bring the effects of process variation from transistor level up to architectural level in terms of circuit delay and leakage power dissipation. Using a first order canonical model and statistical analysis approach, a statistical cell library has been built which comprises not only the basic gate cell models, but also more complex functional blocks such as registers, FIFOs, counters, ALUs etc. Furthermore, other sensitive factors to the overall system performance, such as input signal slope, output load capacitance, different signal switching cases and transition types are also taken into account for each cell in the library, which makes it adaptive to an incremental circuit design. The proposed methodology enables an efficient analysis of process variation effects on system performance with significantly reduced computation time compared to the Monte Carlo simulation approach. As a demonstration vehicle for this technique, the delay and leakage power distributions of a 2-stage asynchronous micropipeline circuit has been simulated using this cell library. The experimental results show that the proposed method can predict the delay and leakage power distribution with less than 5% error and at least 50,000 times faster computation time compare to 5000-sample SPICE based Monte Carlo simulation. The methodology presented here for modelling process variability plays a significant role in Design for Manufacturability (DFM) by quantifying the direct impact of process variations on system performance. The advantages of being able to undertake this analysis at a high level of abstraction and thus early in the design cycle are two fold. First, if the predicted effects of process variation render the circuit performance to be outwith specification, design modifications can be readily incorporated to rectify the situation. Second, knowing what the acceptable limits of process variation are to maintain design performance within its specification, informed choices can be made regarding the implementation technology and manufacturer selected to fabricate the design

    Multistate resistive switching in silver nanoparticle films.

    Get PDF
    Resistive switching devices have garnered significant consideration for their potential use in nanoelectronics and non-volatile memory applications. Here we investigate the nonlinear current-voltage behavior and resistive switching properties of composite nanoparticle films comprising a large collective of metal-insulator-metal junctions. Silver nanoparticles prepared via the polyol process and coated with an insulating polymer layer of tetraethylene glycol were deposited onto silicon oxide substrates. Activation required a forming step achieved through application of a bias voltage. Once activated, the nanoparticle films exhibited controllable resistive switching between multiple discrete low resistance states that depended on operational parameters including the applied bias voltage, temperature and sweep frequency. The films' resistance switching behavior is shown here to be the result of nanofilament formation due to formative electromigration effects. Because of their tunable and distinct resistance states, scalability and ease of fabrication, nanoparticle films have a potential place in memory technology as resistive random access memory cells

    Voltage stacking for near/sub-threshold operation

    Get PDF

    A novel deep submicron bulk planar sizing strategy for low energy subthreshold standard cell libraries

    Get PDF
    Engineering andPhysical Science ResearchCouncil (EPSRC) and Arm Ltd for providing funding in the form of grants and studentshipsThis work investigates bulk planar deep submicron semiconductor physics in an attempt to improve standard cell libraries aimed at operation in the subthreshold regime and in Ultra Wide Dynamic Voltage Scaling schemes. The current state of research in the field is examined, with particular emphasis on how subthreshold physical effects degrade robustness, variability and performance. How prevalent these physical effects are in a commercial 65nm library is then investigated by extensive modeling of a BSIM4.5 compact model. Three distinct sizing strategies emerge, cells of each strategy are laid out and post-layout parasitically extracted models simulated to determine the advantages/disadvantages of each. Full custom ring oscillators are designed and manufactured. Measured results reveal a close correlation with the simulated results, with frequency improvements of up to 2.75X/2.43X obs erved for RVT/LVT devices respectively. The experiment provides the first silicon evidence of the improvement capability of the Inverse Narrow Width Effect over a wide supply voltage range, as well as a mechanism of additional temperature stability in the subthreshold regime. A novel sizing strategy is proposed and pursued to determine whether it is able to produce a superior complex circuit design using a commercial digital synthesis flow. Two 128 bit AES cores are synthesized from the novel sizing strategy and compared against a third AES core synthesized from a state-of-the-art subthreshold standard cell library used by ARM. Results show improvements in energy-per-cycle of up to 27.3% and frequency improvements of up to 10.25X. The novel subthreshold sizing strategy proves superior over a temperature range of 0 °C to 85 °C with a nominal (20 °C) improvement in energy-per-cycle of 24% and frequency improvement of 8.65X. A comparison to prior art is then performed. Valid cases are presented where the proposed sizing strategy would be a candidate to produce superior subthreshold circuits

    Fast spatially-resolved electrical modelling and quantitative characterisation of photovoltaic devices

    Get PDF
    An efficient and flexible modelling and simulation toolset for solving spatially-resolved models of photovoltaic (PV) devices is developed, and its application towards a quantitative description of localised electrical behaviour is given. A method for the extraction of local electrical device parameters is developed as a complementary approach to the conventional characterisation techniques based on lumped models to meet the emerging demands of quantitative spatially-resolved characterisation in the PV community. It allows better understanding of the effects of inhomogeneities on performance of PV devices. The simulation tool is named PV-Oriented Nodal Analysis (PVONA). This is achieved by integrating a specifically designed sparse data structure and a graphics processing unit (GPU)-based parallel conjugate gradient algorithm into a PV-oriented numerical solver. It allows more efficient high-resolution spatially-resolved modelling and simulations of PV devices than conventional approaches based on SPICE (Simulation Program with Integrated Circuit Emphasis) tools in terms of computation time and memory usage. In tests, mega-sub-cell level test cases failed in the latest LTSpice version (v4.22) and a PSpice version (v16.6) on desktop PCs with mainstream hardware due to a memory shortage. PVONA efficiently managed to solve the models. Moreover, it required up to only 5% of the time comparing the two SPICE counterparts. This allows the investigation of inhomogeneities and fault mechanisms in PV devices with high resolution on common computing platforms. The PVONA-based spatially-resolved modelling and simulation is used in various purposes. As an example, it is utilised to evaluate the impacts of nonuniform illumination profiles in a concentrator PV unit. A joint optical and electrical modelling framework is presented. Simulation results suggest that uncertainties introduced during the manufacturing and assembly of the optical components can significantly affect the performance of the system in terms of local voltage and current distribution and global current-voltage characteristics. Significant series resistance and shunt resistance effects are found to be caused by non-uniformity irradiance profiles and design parameters of PV cells. The potential of utilising PVONA as a quality assessment tool for system design is discussed. To achieve quantitative characterisation, the PVONA toolset is then used for developing a 2-D iterative method for the extraction of local electrical parameters of spatially-resolved models of thin-film devices. The method employs PVONA to implement 2-D fitting to reproduce the lateral variations in electroluminescence (EL) images, and to match the dark current-voltage characteristic simultaneously to compensate the calibration factor in EL characterisations. It managed to separate the lateral resistance from the overall series resistance effects. The method is verified by simulations. Experimental results show that pixellation of EL images can be achieved. Effects of local shunts are accurately reproduced by a fitting algorithm. The outcomes of this thesis provide valuable tools that can be used as a complementary means of performance evaluation of PV devices. After proper optimisation, these tools can be used to assist various analysis tasks during the whole lifecycle of PV products

    Static noise margin analysis for CMOS logic cells in near-threshold

    Get PDF
    The advancement of semiconductor technology enabled the fabrication of devices with faster switching activity and chips with higher integration density. However, these advances are facing new impediments related to energy and power dissipation. Besides, the increasing demand for portable devices leads the circuit design paradigm to prioritize energy efficiency instead of performance. Altogether, this scenario motivates engineers towards reducing the supply voltage to the near and subthreshold regime to increase the lifespan of battery-powered devices. Even though operating in these regime offer interesting energy-frequency trade-offs, it brings challenges concerning noise tolerance. As the supply voltage reduces, the available noise margins decrease, and circuits become more prone to functional failures. In addition, near and subthreshold circuits are more susceptible to manufacturing variability, hence further aggravating noise issues. Other issues, such as wire minimization and gate fan-out, also contribute to the relevance of evaluating the noise margin of circuits early in the design Accordingly, this work investigates how to improve the static noise margin of digital synchronous circuits that will operate at the near/subthreshold regime. This investigation produces a set of three original contributions. The first is an automated tool to estimate the static noise margin of CMOS combinational cells. The second contribution is a realistic static noise margin estimation methodology that considers process-voltage-temperature variations. Results show that the proposed methodology allows to reduce up to 70% of the static noise margin pessimism. Finally, the third contribution is the noise-aware cell design methodology and the inclusion of a noise evaluation of complex circuits during the logic synthesis. The resulting library achieved higher static noise margin (up to 24%) and less spread among different cells (up to 62%).Os avanços na tecnologia de semicondutores possibilitou que se fabricasse dispositivos com atividade de chaveamento mais rĂĄpida e com maior capacidade de integração de transistores. Estes avanços, todavia, impuseram novos empecilhos relacionados com a dissipação de potĂȘncia e energia. AlĂ©m disso, a crescente demanda por dispositivos portĂĄteis levaram Ă  uma mudança no paradigma de projeto de circuitos para que se priorize energia ao invĂ©s de desempenho. Este cenĂĄrio motivou Ă  reduzir a tensĂŁo de alimentação com qual os dispositivos operam para um regime prĂłximo ou abaixo da tensĂŁo de limiar, com o objetivo de aumentar sua duração de bateria. Apesar desta abordagem balancear caracterĂ­sticas de performance e energia, ela traz novos desafios com relação a tolerĂąncia Ă  ruĂ­do. Ao reduzirmos a tensĂŁo de alimentação, tambĂ©m reduz-se a margem de ruĂ­do disponĂ­vel e, assim, os circuitos tornam-se mais suscetĂ­veis Ă  falhas funcionais. Somado Ă  este efeito, circuitos com tensĂ”es de alimentação nestes regimes sĂŁo mais sensĂ­veis Ă  variaçÔes do processo de fabricação, logo agravando problemas com ruĂ­do. Existem tambĂ©m outros aspectos, tais como a miniaturização das interconexĂ”es e a relação de fan-out de uma cĂ©lula digital, que incentivam a avaliação de ruĂ­do nas fases iniciais do projeto de circuitos integrados Por estes motivos, este trabalho investiga como aprimorar a margem de ruĂ­do estĂĄtica de circuitos sĂ­ncronos digitais que irĂŁo operar em tensĂ”es no regime de tensĂŁo prĂłximo ou abaixo do limiar. Esta investigação produz um conjunto de trĂȘs contribuiçÔes originais. A primeira Ă© uma ferramenta capaz de avaliar automaticamente a margem de ruĂ­do estĂĄtica de cĂ©lulas CMOS combinacionais. A segunda contribuição Ă© uma metodologia realista para estimar a margem de ruĂ­do estĂĄtica considerando variaçÔes de processo, tensĂŁo e temperatura. Os resultados obtidos mostram que a metodologia proposta permitiu reduzir atĂ© 70% do pessimismo das margens de ruĂ­do estĂĄtica, Por Ășltimo, a terceira contribuição Ă© um fluxo de projeto de cĂ©lulas combinacionais digitais considerando ruĂ­do, e uma abordagem para avaliar a margem de ruĂ­do estĂĄtica de circuitos complexos durante a etapa de sĂ­ntese lĂłgica. A biblioteca de cĂ©lulas resultante deste fluxo obteve maior margem de ruĂ­do (atĂ© 24%) e menor variação entre diferentes cĂ©lulas (atĂ© 62%)

    inSense: A Variation and Fault Tolerant Architecture for Nanoscale Devices

    Get PDF
    Transistor technology scaling has been the driving force in improving the size, speed, and power consumption of digital systems. As devices approach atomic size, however, their reliability and performance are increasingly compromised due to reduced noise margins, difficulties in fabrication, and emergent nano-scale phenomena. Scaled CMOS devices, in particular, suffer from process variations such as random dopant fluctuation (RDF) and line edge roughness (LER), transistor degradation mechanisms such as negative-bias temperature instability (NBTI) and hot-carrier injection (HCI), and increased sensitivity to single event upsets (SEUs). Consequently, future devices may exhibit reduced performance, diminished lifetimes, and poor reliability. This research proposes a variation and fault tolerant architecture, the inSense architecture, as a circuit-level solution to the problems induced by the aforementioned phenomena. The inSense architecture entails augmenting circuits with introspective and sensory capabilities which are able to dynamically detect and compensate for process variations, transistor degradation, and soft errors. This approach creates ``smart\u27\u27 circuits able to function despite the use of unreliable devices and is applicable to current CMOS technology as well as next-generation devices using new materials and structures. Furthermore, this work presents an automated prototype implementation of the inSense architecture targeted to CMOS devices and is evaluated via implementation in ISCAS \u2785 benchmark circuits. The automated prototype implementation is functionally verified and characterized: it is found that error detection capability (with error windows from ≈\approx30-400ps) can be added for less than 2\% area overhead for circuits of non-trivial complexity. Single event transient (SET) detection capability (configurable with target set-points) is found to be functional, although it generally tracks the standard DMR implementation with respect to overheads
    • 

    corecore