4 research outputs found

    Characterizations of indium interconnects for 3D quantum assemblies

    No full text
    International audienceLarge-scale integration of quantum bits and quantum technologies relies on multi-chip assemblies. In that context, we focus on indium microbumps to connect chips made from different materials and technological nodes. We have fabricated two test vehicles, comprising GaAs and Si chips stacked by die-to-die process on a Si-based multi-chip module. GaAs on Si and Si on Si daisy chains were compared to evaluate the impact of thermal expansion coefficient mismatch on the chip connection. Electrical measurements in a cryostat from 300 K to 2 K, as well as morphological and mechanical characterizations were used to support this study and qualify In interconnect technology for heterogeneous quantum assemblies

    A 220GOPS 96-Core Processor with 6 Chiplets 3D-Stacked on an Active Interposer Offering 0.6ns/mm Latency, 3Tb/s/mm 2 Inter-Chiplet Interconnects and 156mW/mm 2 @ 82%-Peak-Efficiency DC-DC Converters

    No full text
    International audienceIn the context of high performance computing, the integration of more computing capabilities with generic cores or dedicated accelerators for AI application is raising more and more challenges. Due to the increasing costs of advanced nodes and the difficulties of shrinking analog and circuit IOs, alternative architecture solutions to single die are becoming mainstream. Chiplet-based systems using 3D technologies enable modular and scalable architecture and technology partitioning. Nevertheless, there are still limitations due to chiplet integration on passive interposers – silicon or organic. In this paper we present the first CMOS active interposer, integrating i) power management without any external components, ii) distributed interconnects enabling any chiplet-to-chiplet communication, iii) system infrastructure, Design-for-Test, and circuit IOs. The INTACT circuit prototype integrates 6 chiplets in FDSOI 28nm technology, which are 3D-stacked onto this active interposer in 65nm process, offering a total of 96 computing cores. Full scalability of the computing system is achieved using an innovative scalable cache coherent memory hierarchy, enabled by distributed Network-on-Chips, with 3Tbit/s/mm2 high bandwidth 3D-plug interfaces using 20μm pitch micro-bumps, 0.6ns/mm low latency asynchronous interconnects, while the 6 chiplets are locally power-supplied with 156mW/mm2@ 82%-peak-efficiency DC-DC converters through the active interposer. Thermal dissipation is studied showing the feasibility of such approach
    corecore