145 research outputs found

    Design of On-Chip Self-Testing Signature Register

    Get PDF
    Over the last few years, scan test has turn out to be too expensive to implement for industry standard designs due to increasing test data volume and test time. The test cost of a chip is mainly governed by the resource utilization of Automatic Test Equipment (ATE). Also, it directly depends upon test time that includes time required to load test program, to apply test vectors and to analyze generated test response of the chip. An issue of test time and data volume is increasingly appealing designers to use on-chip test data compactors, either on input side or output side or both. Such techniques significantly address the former issues but have little hold over increasing number of input-outputs under test mode. Further, test pins on DUT are increasing over the generations. Thus, scan channels on test floor are falling short in number for placement of such ICs. To address issues discussed above, we introduce an on-chip self-testing signature register. It comprises a response compactor and a comparator. The compactor compacts large chunk of response data to a small test signature whereas the comparator compares this test signature with desired one. The overall test result for the design is generated on single output pin. Being no storage of test response is demanded, the considerable reduction in ATE memory can be observed. Also, with only single pin to be monitored for test result, the number of tester channels and compare edges on ATE side significantly reduce at the end of the test. This cuts down maintenance and usage cost of test floor and increases its life time. Furthermore reduction in test pins gives scope for DFT engineers to increase number of scan chains so as to further reduce test time

    Cost modelling and concurrent engineering for testable design

    Get PDF
    This thesis was submitted for the degree of Doctor of Philosophy and awarded by Brunel University.As integrated circuits and printed circuit boards increase in complexity, testing becomes a major cost factor of the design and production of the complex devices. Testability has to be considered during the design of complex electronic systems, and automatic test systems have to be used in order to facilitate the test. This fact is now widely accepted in industry. Both design for testability and the usage of automatic test systems aim at reducing the cost of production testing or, sometimes, making it possible at all. Many design for testability methods and test systems are available which can be configured into a production test strategy, in order to achieve high quality of the final product. The designer has to select from the various options for creating a test strategy, by maximising the quality and minimising the total cost for the electronic system. This thesis presents a methodology for test strategy generation which is based on consideration of the economics during the life cycle of the electronic system. This methodology is a concurrent engineering approach which takes into account all effects of a test strategy on the electronic system during its life cycle by evaluating its related cost. This objective methodology is used in an original test strategy planning advisory system, which allows for test strategy planning for VLSI circuits as well as for digital electronic systems. The cost models which are used for evaluating the economics of test strategies are described in detail and the test strategy planning system is presented. A methodology for making decisions which are based on estimated costing data is presented. Results of using the cost models and the test strategy planning system for evaluating the economics of test strategies for selected industrial designs are presented

    Mutations in atpG, encoding the γ subunit of ATP synthase cause lowered expression of pckA in Escherichia coli

    Get PDF
    Phosphoenolpyruvate carboxykinase [E.C.4.1.1.49] (Pck) catalyses a key reaction of gluconeogeneis in Escherichia coli. It converts the Krebs cycle intermediate oxaloacetate (OAA) to phophoenolpyruvate (PEP), which is a part of glycolysis. Transcription of pckA, the structural gene for Pck is regulated by catabolite repression and the transcription increases 100 fold in early stationary phase, by an unknown mechanism. This study used mini Tn10-ATS (KanR) to isolate mutants that affect the expression of pckA in stationary phase. Using penicillin selection, Succinate⁻, KanR mutants defective in Pck were isolated. The mutants had lower growth yields and lower Pck specific activity than the wild type. Experiments with a slow growing recA strain and a pckA::Tn10 mutant indicated that the lowered Pck levels were not correlated with low growth yields. Four independent mutants were isolated which had KanR tightly linked to the Suc⁻ phenotype but not to pckA as determined by P1 transduction. These mutants fermented maltose and arabinose, which indicated that the mutations were not in the cya or crp genes. PCR with IS10 and REP (Repetitive Extragenic Palindrome) primers was done to amplify presumptive mini Tn10 insertions. However, no homology to the transposon could be found and it was hypothesised that the mutants contained spontaneous KanR mutations, which resulted in Pck⁻ (Succinate⁻) phenotype. Since it was known that mutations in the atp genes, encoding ATP synthase had Suc⁻ KanR phenotypes, P1 transduction was used to test for the linkage to genes close to the atp operon. Three of the mutations were tightly linked to ilvD and to rbs, while the other appeared to have multiple KanR and could not be mapped further. The mutants were found to have low levels of ATP synthase as well as Pck and transductants regained both enzyme activities and kanamycin sensitivity. Plasmids containing all or just the F₁ portion of the atp operon complemented the phenotypes (Suc⁻, Pck⁻, KanR and ATP synthase⁻) of all the mutants. DNA fragments encoding the F₁ region of ATP synthase of the mutants were sequenced after PCR amplification. In two mutants there was a two base pair "GC" deletion in atpG resulting in a truncation of 28 amino acids at the carboxyl terminus end of the γ subunit of ATP synthase. The other mutant had a "T" deletion in atpG, which led to a 40 amino acid truncation at the carboxyl terminus of the γ subunit of ATP synthase. Complementation of the Suc⁻ phenotype with plasmid pBWG15 expressing the γ subunit confirmed that the mutations were in atpG in the mutant HG205. This study led us to identify a role of the atpG gene in pckA expression in stationary phase. The atpG mutations could affect expression of pckA in different ways. First, gluconeogenesis is an energy consuming process. Low ATP levels (low energy state) in the mutants could lead to low Pck levels. Second, atpG might affect pckA at a genetic level. Third, the γ subunit is a gate for proton flow and links ATP synthesis to proton translocation. The presence of the faulty γ subunit could make ATP synthase a proton pore, which results in collapse of the pH gradient. This could have some effect on the expression of pckA. Fourth, intracellular pH might also affect the synthesis or activity of Pck. There are a number of genes, e.g. ompF, lamB, mar operon, whose expression changes as a function of pH. Lastly, ATP synthase might interact with a protein kinase or is a protein kinase itself and affects the phosphorylation of a protein that activates the expression of pckA. From the results of this work, atp genes seem to play an important role in the expression of Pck activity. The atp genes could regulate Pck activity at the transcriptional, translational or protein levels. This opens up a new area of investigation of the stationary phase regulation of pckA

    Studies on transduction by bacteriophage P1

    Get PDF

    Design and test for timing uncertainty in VLSI circuits.

    Get PDF
    由於特徵尺寸不斷縮小,集成電路在生產過程中的工藝偏差在運行環境中溫度和電壓等參數的波動以及在使用過程中的老化等效應越來越嚴重,導致芯片的時序行為出現很大的不確定性。多數情況下,芯片的關鍵路徑會不時出現時序錯誤。加入更多的時序餘量不是一種很好的解決方案,因為這種保守的設計方法會抵消工藝進步帶來的性能上的好處。這就為設計一個時序可靠的系統提出了極大的挑戰,其中的一些關鍵問題包括:(一)如何有效地分配有限的功率預算去優化那些正爆炸式增加的關鍵路徑的時序性能;(二)如何產生能夠捕捉準確的最壞情況時延的高品質測試向量;(三)為了能夠取得更好的功耗和性能上的平衡,我們將不得不允許芯片在使用過程中出現一些頻率很低的時序錯誤。隨之而來的問題是如何做到在線的檢錯和糾錯。為了解決上述問題,我們首先發明了一種新的技術用於識別所謂的虛假路徑,該方法使我們能夠發現比傳統方法更多的虛假路徑。當將所提取的虛假路徑集成到靜態時序分析工具里以後,我們可以得到更為準確的時序分析結果,同時也能節省本來用於優化這些路徑的成本。接著,考慮到現有的延時自動向量生成(ATPG) 方法會產生功能模式下無法出現的測試向量,這種向量可能會造成測試過程中在被激活的路徑周圍出現過多(或過少)的電源噪聲(PSN) ,從而導致測試過度或者測試不足情況。為此,我們提出了一種新的偽功能ATPG工具。通過同時考慮功能約束以及電路的物理佈局信息,我們使用類似ATPG 的算法產生狀態跳變使其能最大化已激活的路徑周圍的PSN影響。最後,基於近似電路的原理,我們提出了一種新的在線原位校正技術,即InTimeFix,用於糾正時序錯誤。由於實現近似電路的綜合僅需要簡單的電路結構分析,因此該技術能夠很容易的擴展到大型電路設計上去。With technology scaling, integrated circuits (ICs) suffer from increasing process, voltage, and temperature (PVT) variations and aging effects. In most cases, these reliability threats manifest themselves as timing errors on speed-paths (i.e., critical or near-critical paths) of the circuit. Embedding a large design guard band to prevent timing errors to occur is not an attractive solution, since this conservative design methodology diminishes the benefit of technology scaling. This creates several challenges on build a reliable systems, and the key problems include (i) how to optimize circuit’s timing performance with limited power budget for explosively increased potential speed-paths; (ii) how to generate high quality delay test pattern to capture ICs’ accurate worst-case delay; (iii) to have better power and performance tradeoff, we have to accept some infrequent timing errors in circuit’s the usage phase. Therefore, the question is how to achieve online timing error resilience.To address the above issues, we first develop a novel technique to identify so-called false paths, which facilitate us to find much more false paths than conventional methods. By integrating our identified false paths into static timing analysis tool, we are able to achieve more accurate timing information and also save the cost used to optimize false paths. Then, due to the fact that existing delay automated test pattern generation (ATPG) methods may generate test patterns that are functionally-unreachable, and such patterns may incur excessive (or limited) power supply noise (PSN) on sensitized paths in test mode, thus leading to over-testing or under-testing of the circuits, we propose a novel pseudo-functional ATPG tool. By taking both circuit layout information and functional constrains into account, we use ATPG like algorithm to justify transitions that pose the maximized functional PSN effects on sensitized critical paths. Finally, we propose a novel in-situ correction technique to mask timing errors, namely InTimeFix, by introducing redundant approximation circuit with more timing slack for speed-paths into the design. The synthesis of the approximation circuit relies on simple structural analysis of the original circuit, which is easily scalable to large IC designs.Detailed summary in vernacular field only.Detailed summary in vernacular field only.Yuan, Feng.Thesis (Ph.D.)--Chinese University of Hong Kong, 2012.Includes bibliographical references (leaves 88-100).Abstract also in Chinese.Abstract --- p.iAcknowledgement --- p.ivChapter 1 --- Introduction --- p.1Chapter 1.1 --- Challenges to Solve Timing Uncertainty Problem --- p.2Chapter 1.2 --- Contributions and Thesis Outline --- p.5Chapter 2 --- Background --- p.7Chapter 2.1 --- Sources of Timing Uncertainty --- p.7Chapter 2.1.1 --- Process Variation --- p.7Chapter 2.1.2 --- Runtime Environment Fluctuation --- p.9Chapter 2.1.3 --- Aging Effect --- p.10Chapter 2.2 --- Technical Flow to Solve Timing Uncertainty Problem --- p.10Chapter 2.3 --- False Path --- p.12Chapter 2.3.1 --- Path Sensitization Criteria --- p.12Chapter 2.3.2 --- False Path Aware Timing Analysis --- p.13Chapter 2.4 --- Manufacturing Testing --- p.14Chapter 2.4.1 --- Functional Testing vs. Structural Testing --- p.14Chapter 2.4.2 --- Scan-Based DfT --- p.15Chapter 2.4.3 --- Pseudo-Functional Testing --- p.17Chapter 2.5 --- Timing Error Tolerance --- p.19Chapter 2.5.1 --- Timing Error Detection --- p.19Chapter 2.5.2 --- Timing Error Recover --- p.20Chapter 3 --- Timing-Independent False Path Identification --- p.23Chapter 3.1 --- Introduction --- p.23Chapter 3.2 --- Preliminaries and Motivation --- p.26Chapter 3.2.1 --- Motivation --- p.27Chapter 3.3 --- False Path Examination Considering Illegal States --- p.28Chapter 3.3.1 --- Path Sensitization Criterion --- p.28Chapter 3.3.2 --- Path-Aware Illegal State Identification --- p.30Chapter 3.3.3 --- Proposed Examination Procedure --- p.31Chapter 3.4 --- False Path Identification --- p.32Chapter 3.4.1 --- Overall Flow --- p.34Chapter 3.4.2 --- Static Implication Learning --- p.35Chapter 3.4.3 --- Suspicious Node Extraction --- p.36Chapter 3.4.4 --- S-Frontier Propagation --- p.37Chapter 3.5 --- Experimental Results --- p.38Chapter 3.6 --- Conclusion and Future Work --- p.42Chapter 4 --- PSN Aware Pseudo-Functional Delay Testing --- p.43Chapter 4.1 --- Introduction --- p.43Chapter 4.2 --- Preliminaries and Motivation --- p.45Chapter 4.2.1 --- Motivation --- p.46Chapter 4.3 --- Proposed Methodology --- p.48Chapter 4.4 --- Maximizing PSN Effects under Functional Constraints --- p.50Chapter 4.4.1 --- Pseudo-Functional Relevant Transitions Generation --- p.51Chapter 4.5 --- Experimental Results --- p.59Chapter 4.5.1 --- Experimental Setup --- p.59Chapter 4.5.2 --- Results and Discussion --- p.60Chapter 4.6 --- Conclusion --- p.64Chapter 5 --- In-Situ Timing Error Masking in Logic Circuits --- p.65Chapter 5.1 --- Introduction --- p.65Chapter 5.2 --- Prior Work and Motivation --- p.67Chapter 5.3 --- In-Situ Timing Error Masking with Approximate Logic --- p.69Chapter 5.3.1 --- Equivalent Circuit Construction with Approximate Logic --- p.70Chapter 5.3.2 --- Timing Error Masking with Approximate Logic --- p.72Chapter 5.4 --- Cost-Efficient Synthesis for InTimeFix --- p.75Chapter 5.4.1 --- Overall Flow --- p.76Chapter 5.4.2 --- Prime Critical Segment Extraction --- p.77Chapter 5.4.3 --- Prime Critical Segment Merging --- p.79Chapter 5.5 --- Experimental Results --- p.81Chapter 5.5.1 --- Experimental Setup --- p.81Chapter 5.5.2 --- Results and Discussion --- p.82Chapter 5.6 --- Conclusion --- p.85Chapter 6 --- Conclusion and Future Work --- p.86Bibliography --- p.10

    Characterization of Aquifex aeolicus F1FO ATP synthase and its heterologous production in Escherichia coli

    Get PDF
    This work presents a biochemical, functional and structural characterization of Aquifex aeolicus F1FO ATP synthase obtained using both a native form (AAF1FO) and a heterologous form (EAF1FO) of this enzyme. F1FO ATP synthases catalyze the synthesis of ATP from ADP and inorganic phosphate driven by ion motive forces across the membrane and therefore play a key cellular function. Because of their central role in supporting life, F1FO ATP synthases are ubiquitous and have been remarkably conserved throughout evolution. For their biological importance, F1FO ATP synthases have been extensively studied for many decades and many of them were characterized from both a functional and a structural standpoint. However, important properties of ATP synthases – specifically properties pertaining to their membrane embedded subunits – have yet to be determined and no structures are available to date for the intact enzyme complex. Therefore, F1FO ATP synthases are still a major focus of research worldwide. Our research group had previously reported an initial characterization of AAF1FO and had indicated that this enzyme presents unique features, i.e. a bent central stalk and a putatively heterodimeric peripheral stalk. Based on such a characterization, this enzyme revealed promising for structural and functional studies on ATP synthases and became the focus of this doctoral thesis. Two different lines of research were followed in this work. First, the characterization of AAF1FO was extended by bioinformatic, biochemical and enzymatic analyses. The work on AAF1FO led to the identification of a new detergent that maintains a higher homogeneity and integrity of the complex, namely the detergent trans-4-(trans-4’-propylcyclohexyl)cyclohexyl-α-D-maltoside (α-PCC). The characterization of AAF1FO in this new detergent showed that AAF1FO is a proton-dependent, not a sodium ion-dependent ATP synthase and that its ATP hydrolysis mechanism needs to be triggered and activated by high temperatures, possibly inducing a conformational switch in subunit γ. Moreover, this approach suggested that AAF1FO may present unusual features in its membrane subunits, i.e. short N-terminal segments in subunits a and c with implications for the membrane insertion mechanism of these subunits. Investigating on these unique features of A. aeolicus F1FO ATP synthase could not be done using A. aeolicus cells, because these require a harsh and dangerous environment for growth and they are inaccessible to genetic manipulations. Therefore, a second approach was pursued, in which an expression system was created to produce the enzyme in the heterologous host E. coli. This second approach was experimentally challenging, because A. aeolicus F1FO ATP synthase is a 500-kDa multimeric membrane enzyme with a complicated and still not entirely determined stoichiometry and because its encoding genes are scattered throughout A. aeolicus genome, rather than being organized in one single operon. However, an artificial operon suitable for expression was created in this work and led to the successful production of an active and fully assembled form of Aquifex aeolicus F1FO ATP synthase. Such artificial operon was created using a stepwise approach, in which we expressed and studied first individual subunits, then subcomplexes, and finally the entire F1FO ATP synthase complex. We confirmed experimentally that subunits b1 and b2 form a heterodimeric subcomplex in the E. coli membranes, which is a unique case among ATP synthases of non-photosynthetic organisms. Moreover, we determined that the b1b2 subcomplex is sufficient to recruit the soluble F1 subcomplex to the membranes, without requiring the presence of the other membrane subunits a and c. The latter subunits can be produced in our expression system only when the whole ATP synthase is expressed, but not in isolation nor in the context of smaller FO subcomplexes. These observations led us to propose a novel mechanism for the assembly of ATP synthases, in which first the F1 subcomplex attaches to the membrane via subunit b1b2, and then cring and subunits a assemble to complete the FO subcomplex. Furthermore, we could purify the heterologous ATP synthase (EAF1FO) to homogeneity by chromatography and electro-elution. Enzymatic assays showed that the purified form of EAF1FO is as active as AAF1FO. Peptide mass fingerprinting showed that EAF1FO is composed of the same subunits as AAF1FO and all soluble and membrane subunits could be identified. Finally, single-particle electron microscopy analysis revealed that the structure of EAF1FO is identical to that of AAF1FO. Therefore, the EAF1FO expression system serves as a reliable platform for investigating on properties of AAF1FO. Specifically, in this work, EAF1FO was used to study the membrane insertion mechanism of rotary subunit c. Subunits c possess different lengths and levels of hydrophobicity across species and by analyzing their N-terminal variability, four phylogenetic groups of subunits c were distinguished (groups 1 to 4). As a member of group 2, the subunit c from A. aeolicus F1FO ATP synthase is characterized by an N-terminal segment that functions as a signal peptide with SRP recognition features, a unique case for bacterial F1FO ATP synthases. By accurately designing mutants of EAF1FO, we determined that such a signal peptide is strictly necessary for membrane insertion of subunit c and we concluded that A. aeolicus subunit c inserts into E. coli membranes using a different pathway than E. coli subunit c. Such a property may be common to other ATP synthases from extremophilic organisms, which all cluster in the same phylogenetic group. In conclusion, the successful production of the fully assembled and active F1FO ATP synthase from A. aeolicus in E. coli reported in this work provides a novel genetic system to study A. aeolicus F1FO ATP synthase. To a broader extent, it will also serve in the future as a solid reference for designing strategies aimed at producing large multi-subunit complexes with complicated stoichiometry.Die F1FO ATP-Synthase katalysiert die Synthese von ATP aus ADP und anorganischem Phosphat. Die hierfür benötigte Energie wird durch einen über die Zellmembran bzw. Innere Mitochondrienmembran bestehenden elektrochemischen Ionengradienten geliefert. Die F1FO ATP-Synthase ist sowohl in Bakterien, als auch in Mitochondrien und Chloroplasten zu finden und dabei hoch konserviert. Das Holoenzym besteht aus zwei größeren Subkomplexen, dem hydrophilen F1- und dem hydrophoben FO-Komplex. Der F1-Subkomplex besteht aus den Untereinheiten α, β, γ, δ und ε in der Zusammensetzung 3:3:1:1:1. Der membrangebundene FO-Komplex besteht aus den Untereinheiten a, b und c, in den Stöchiometrien 1:2: (8-15). Die Untereinheiten a und c sind für die Ionentranslokation zuständig. Die Untereinheiten γ und ε verbinden den F1-Subkomplex mit dem c-Ring des FO-Subkomplexes. Neben der Einteilung in den hydrophoben und hydrophilen Teil des Enzyms kann die F1FO ATP-Synthase auch in einen Stator (a, b, δ, α, β) und in einen Rotor (γ, ε, c) gegliedert werden. Bisher konnten atomare Strukturen nur von Subkomplexen oder einzelnen Untereinheiten bestimmt werden, wie zum Beispiel dem bovinen F1-Subkomplex oder den c-Ringen aus Ilyobacter tartaricus, Bacillus pseudofirmus und Arthrospira platensis. Strukturen für den bovinen Stator-Subkomplex konnten ebenfalls bestimmt werden. Allerdings ist noch keine Struktur für das Holoenzym oder den membrangebundenen FOSubkomplex bekannt. Die Struktur des Holoenzyms in atomarer Auflösung könnte detaillierte Einblicke in den Ionen-Transportmechanismus geben, der bis heute nicht komplett geklärt ist. Bisher konnte in unserem Labor gezeigt werden, dass die F1FO ATP-Synthase aus Aquifex aeolicus aufgrund ihrer thermophilen Herkunft ein hoch stabiles Enzym darstellt, das als Holoenzym in seiner aktiven Form aufgereinigt werden konnte. Zusätzlich konnten neue strukturelle Daten für die F1FO ATP-Synthase gewonnen werden, wie etwa eine Deformation des zentralen Stators (γ und ε-Untereinheiten) oder ein möglicher heterodimerer peripherer Stator im Vergleich zum Rinderenzym. Daher stellt die F1FO ATP-Synthase aus A. aeolicus ein interessantes Ziel für weitere strukturelle und funktionelle Studien dar. Es wurden vier Ziele für diese Doktorarbeit formuliert, basierend auf früheren Studien: (i) Ergänzung der bisherigen Charakterisierung der nativen F1FO ATP-Synthase aus A. aeolicus (AAF1FO) durch bioinformatische, biochemische und funktionelle Studien, (ii) Etablierung eines heterologen Expressionssystem für AAF1Fo in E. coli, (iii) Charakterisierung der so exprimierten ATP-Synthase (EAF1FO), (iv) Untersuchung von Eigenschaften der AAF1FO, wie etwa die Rolle des N-Terminus der c-Untereinheit, die nur mit Hilfe eines heterologen Expressionssystems durchgeführt werden können. ..

    Design-for-delay-testability techniques for high-speed digital circuits

    Get PDF
    The importance of delay faults is enhanced by the ever increasing clock rates and decreasing geometry sizes of nowadays' circuits. This thesis focuses on the development of Design-for-Delay-Testability (DfDT) techniques for high-speed circuits and embedded cores. The rising costs of IC testing and in particular the costs of Automatic Test Equipment are major concerns for the semiconductor industry. To reverse the trend of rising testing costs, DfDT is\ud getting more and more important

    Comprehensive Assessments of the Genetic Determinants in Salmonella Typhimurium for Fitness under Host Stressors: Oxidative Stress and Iron Restriction

    Get PDF
    Salmonella is an intracellular pathogen that infects a wide range of hosts. The infected host utilizes reactive oxygen species (ROS) and iron-restriction to eliminate the pathogen. We used proteogenomics to determine the candidate genes and proteins that have a role in resistance of S. Typhimurium to H2O2. For Tn-seq, a highly saturated Tn5 library was grown in vitro under either 2.5 (H2O2L) or 3.5 mM H2O2 (H2O2H). We identified two sets of overlapping genes that are required for resistance of S. Typhimurium to H2O2L and H2O2H, and the results were validated via phenotypic evaluation of 50 selected mutants. The enriched pathways for resistance to H2O2 included DNA repair, aromatic amino acid biosynthesis (aroBK), Fe-S cluster biosynthesis, iron homeostasis and a putative iron transporter system (ybbKLM), flagellar genes (fliBC), H2O2 scavenging enzymes, and DNA adenine methylase. Proteomics revealed that the majority of essential proteins, including ribosomal proteins, were downregulated upon exposure to H2O2. A subset of proteins identified by Tn-seq were analyzed by targeted proteomics, and 70 % of them were upregulated upon exposure to H2O2. Further, we assessed genomic of S. Typhimurium under gradient iron-restricted conditions using Tn-seq. In addition to conditionally essential genes that mediate the pathogen survival under iron-restricted conditions, we found ROS-dependent essential genes. Based on this, we expand ROS-antibiotic mediated killing model, which asserts that bactericidal antibiotics induce ROS formation and ultimately contributes to cell death. We show that impairment of many essential genes with transposons, without antibiotic interference, induce ROS formation and the death of these mutants can be ceased through an iron chelator. Tn-seq reveals that one-third of S. Typhimurium essential genome are ROS-dependent, far beyond antibiotic targets, as they can grow very slowly in iron-restricted conditions. Interestingly, majority of antibiotic target genes are ROS-dependent. We propose that ROS-independent essential genes may be better targets for antibiotic development because the cells die immediately following the disruption of the essential gene. This work expands our knowledge about mechanisms of S. Typhimurium survival in macrophages, the role of ROS in cell death following essential gene disruption, and provides novel targets for development of new antibiotics
    corecore