2,500 research outputs found

    Analog Circuits in Ultra-Deep-Submicron CMOS

    Get PDF
    Modern and future ultra-deep-submicron (UDSM) technologies introduce several new problems in analog design. Nonlinear output conductance in combination with reduced voltage gain pose limits in linearity of (feedback) circuits. Gate-leakage mismatch exceeds conventional matching tolerances. Increasing area does not improve matching any more, except if higher power consumption is accepted or if active cancellation techniques are used. Another issue is the drop in supply voltages. Operating critical parts at higher supply voltages by exploiting combinations of thin- and thick-oxide transistors can solve this problem. Composite transistors are presented to solve this problem in a practical way. Practical rules of thumb based on measurements are derived for the above phenomena

    Design and debugging of multi-step analog to digital converters

    Get PDF
    With the fast advancement of CMOS fabrication technology, more and more signal-processing functions are implemented in the digital domain for a lower cost, lower power consumption, higher yield, and higher re-configurability. The trend of increasing integration level for integrated circuits has forced the A/D converter interface to reside on the same silicon in complex mixed-signal ICs containing mostly digital blocks for DSP and control. However, specifications of the converters in various applications emphasize high dynamic range and low spurious spectral performance. It is nontrivial to achieve this level of linearity in a monolithic environment where post-fabrication component trimming or calibration is cumbersome to implement for certain applications or/and for cost and manufacturability reasons. Additionally, as CMOS integrated circuits are accomplishing unprecedented integration levels, potential problems associated with device scaling – the short-channel effects – are also looming large as technology strides into the deep-submicron regime. The A/D conversion process involves sampling the applied analog input signal and quantizing it to its digital representation by comparing it to reference voltages before further signal processing in subsequent digital systems. Depending on how these functions are combined, different A/D converter architectures can be implemented with different requirements on each function. Practical realizations show the trend that to a first order, converter power is directly proportional to sampling rate. However, power dissipation required becomes nonlinear as the speed capabilities of a process technology are pushed to the limit. Pipeline and two-step/multi-step converters tend to be the most efficient at achieving a given resolution and sampling rate specification. This thesis is in a sense unique work as it covers the whole spectrum of design, test, debugging and calibration of multi-step A/D converters; it incorporates development of circuit techniques and algorithms to enhance the resolution and attainable sample rate of an A/D converter and to enhance testing and debugging potential to detect errors dynamically, to isolate and confine faults, and to recover and compensate for the errors continuously. The power proficiency for high resolution of multi-step converter by combining parallelism and calibration and exploiting low-voltage circuit techniques is demonstrated with a 1.8 V, 12-bit, 80 MS/s, 100 mW analog to-digital converter fabricated in five-metal layers 0.18-Β΅m CMOS process. Lower power supply voltages significantly reduce noise margins and increase variations in process, device and design parameters. Consequently, it is steadily more difficult to control the fabrication process precisely enough to maintain uniformity. Microscopic particles present in the manufacturing environment and slight variations in the parameters of manufacturing steps can all lead to the geometrical and electrical properties of an IC to deviate from those generated at the end of the design process. Those defects can cause various types of malfunctioning, depending on the IC topology and the nature of the defect. To relive the burden placed on IC design and manufacturing originated with ever-increasing costs associated with testing and debugging of complex mixed-signal electronic systems, several circuit techniques and algorithms are developed and incorporated in proposed ATPG, DfT and BIST methodologies. Process variation cannot be solved by improving manufacturing tolerances; variability must be reduced by new device technology or managed by design in order for scaling to continue. Similarly, within-die performance variation also imposes new challenges for test methods. With the use of dedicated sensors, which exploit knowledge of the circuit structure and the specific defect mechanisms, the method described in this thesis facilitates early and fast identification of excessive process parameter variation effects. The expectation-maximization algorithm makes the estimation problem more tractable and also yields good estimates of the parameters for small sample sizes. To allow the test guidance with the information obtained through monitoring process variations implemented adjusted support vector machine classifier simultaneously minimize the empirical classification error and maximize the geometric margin. On a positive note, the use of digital enhancing calibration techniques reduces the need for expensive technologies with special fabrication steps. Indeed, the extra cost of digital processing is normally affordable as the use of submicron mixed signal technologies allows for efficient usage of silicon area even for relatively complex algorithms. Employed adaptive filtering algorithm for error estimation offers the small number of operations per iteration and does not require correlation function calculation nor matrix inversions. The presented foreground calibration algorithm does not need any dedicated test signal and does not require a part of the conversion time. It works continuously and with every signal applied to the A/D converter. The feasibility of the method for on-line and off-line debugging and calibration has been verified by experimental measurements from the silicon prototype fabricated in standard single poly, six metal 0.09-Β΅m CMOS process

    Design of Energy-Efficient A/D Converters with Partial Embedded Equalization for High-Speed Wireline Receiver Applications

    Get PDF
    As the data rates of wireline communication links increases, channel impairments such as skin effect, dielectric loss, fiber dispersion, reflections and cross-talk become more pronounced. This warrants more interest in analog-to-digital converter (ADC)-based serial link receivers, as they allow for more complex and flexible back-end digital signal processing (DSP) relative to binary or mixed-signal receivers. Utilizing this back-end DSP allows for complex digital equalization and more bandwidth-efficient modulation schemes, while also displaying reduced process/voltage/temperature (PVT) sensitivity. Furthermore, these architectures offer straightforward design translation and can directly leverage the area and power scaling offered by new CMOS technology nodes. However, the power consumption of the ADC front-end and subsequent digital signal processing is a major issue. Embedding partial equalization inside the front-end ADC can potentially result in lowering the complexity of back-end DSP and/or decreasing the ADC resolution requirement, which results in a more energy-effcient receiver. This dissertation presents efficient implementations for multi-GS/s time-interleaved ADCs with partial embedded equalization. First prototype details a 6b 1.6GS/s ADC with a novel embedded redundant-cycle 1-tap DFE structure in 90nm CMOS. The other two prototypes explain more complex 6b 10GS/s ADCs with efficiently embedded feed-forward equalization (FFE) and decision feedback equalization (DFE) in 65nm CMOS. Leveraging a time-interleaved successive approximation ADC architecture, new structures for embedded DFE and FFE are proposed with low power/area overhead. Measurement results over FR4 channels verify the effectiveness of proposed embedded equalization schemes. The comparison of fabricated prototypes against state-of-the-art general-purpose ADCs at similar speed/resolution range shows comparable performances, while the proposed architectures include embedded equalization as well

    Self-powered Time-Keeping and Time-of-Occurrence Sensing

    Get PDF
    Self-powered and passive Internet-of-Things (IoT) devices (e.g. RFID tags, financial assets, wireless sensors and surface-mount devices) have been widely deployed in our everyday and industrial applications. While diverse functionalities have been implemented in passive systems, the lack of a reference clock limits the design space of such devices used for applications such as time-stamping sensing, recording and dynamic authentication. Self-powered time-keeping in passive systems has been challenging because they do not have access to continuous power sources. While energy transducers can harvest power from ambient environment, the intermittent power cannot support continuous operation for reference clocks. The thesis of this dissertation is to implement self-powered time-keeping devices on standard CMOS processes. In this dissertation, a novel device that combines the physics of quantum tunneling and floating-gate (FG) structures is proposed for self-powered time-keeping in CMOS process. The proposed device is based on thermally assisted Fowler-Nordheim (FN) tunneling process across high-quality oxide layer to discharge the floating-gate node, therefore resulting in a time-dependent FG potential. The device was fully characterized in this dissertation, and it does not require external powering during runtime, making it feasible for passive devices and systems. Dynamic signature based on the synchronization and desynchronization behavior of the FN timer is proposed for authentication of IoT devices. The self-compensating physics ensure that when distributed timers are subjected to identical environment variances that are common-mode noise, they can maintain synchronization with respect to each other. On the contrary, different environment conditions will desynchronize the timers creating unique signatures. The signatures could be used to differentiate between products that belong to different supply-chains or products that were subjected to malicious tampering. SecureID type dynamic authentication protocols based on the signature generated by the FN timers are proposed and they are proven to be robust to most attacks. The protocols are further analyzed to be lightweight enough for passive devices whose computational sources are limited. The device could also be applied for self-powered sensing of time-of-occurrence. The prototype was verified by integrating the device with a self-powered mechanical sensor to sense and record time-of-occurrence of mechanical events. The system-on-chip design uses the timer output to modulate a linear injector to stamp the time information into the sensing results. Time-of-occurrence can be reconstructed by training the mathematical model and then applying that to the test data. The design was verified to have a high reconstruction accuracy

    Robust low-power digital circuit design in nano-CMOS technologies

    Get PDF
    Device scaling has resulted in large scale integrated, high performance, low-power, and low cost systems. However the move towards sub-100 nm technology nodes has increased variability in device characteristics due to large process variations. Variability has severe implications on digital circuit design by causing timing uncertainties in combinational circuits, degrading yield and reliability of memory elements, and increasing power density due to slow scaling of supply voltage. Conventional design methods add large pessimistic safety margins to mitigate increased variability, however, they incur large power and performance loss as the combination of worst cases occurs very rarely. In-situ monitoring of timing failures provides an opportunity to dynamically tune safety margins in proportion to on-chip variability that can significantly minimize power and performance losses. We demonstrated by simulations two delay sensor designs to detect timing failures in advance that can be coupled with different compensation techniques such as voltage scaling, body biasing, or frequency scaling to avoid actual timing failures. Our simulation results using 45 nm and 32 nm technology BSIM4 models indicate significant reduction in total power consumption under temperature and statistical variations. Future work involves using dual sensing to avoid useless voltage scaling that incurs a speed loss. SRAM cache is the first victim of increased process variations that requires handcrafted design to meet area, power, and performance requirements. We have proposed novel 6 transistors (6T), 7 transistors (7T), and 8 transistors (8T)-SRAM cells that enable variability tolerant and low-power SRAM cache designs. Increased sense-amplifier offset voltage due to device mismatch arising from high variability increases delay and power consumption of SRAM design. We have proposed two novel design techniques to reduce offset voltage dependent delays providing a high speed low-power SRAM design. Increasing leakage currents in nano-CMOS technologies pose a major challenge to a low-power reliable design. We have investigated novel segmented supply voltage architecture to reduce leakage power of the SRAM caches since they occupy bulk of the total chip area and power. Future work involves developing leakage reduction methods for the combination logic designs including SRAM peripherals

    A high resolution data conversion and digital processing for high energy physics calorimeter detectors readout

    Get PDF
    L'abstract Γ¨ presente nell'allegato / the abstract is in the attachmen

    Concepts for smart AD and DA converters

    Get PDF
    This thesis studies the `smart' concept for application to analog-to-digital and digital-to-analog converters. The smart concept aims at improving performance - in a wide sense - of AD/DA converters by adding on-chip intelligence to extract imperfections and to correct for them. As the smart concept can correct for certain imperfections, it can also enable the use of more efficient architectures, thus yielding an additional performance boost. Chapter 2 studies trends and expectations in converter design with respect to applications, circuit design and technology evolution. Problems and opportunities are identfied, and an overview of performance criteria is given. Chapter 3 introduces the smart concept that takes advantage of the expected opportunities (described in chapter 2) in order to solve the anticipated problems. Chapter 4 applies the smart concept to digital-to-analog converters. In the discussed example, the concept is applied to reduce the area of the analog core of a current-steering DAC. It is shown that a sub-binary variable-radix approach reduces the area of the current-source elements substantially (10x compared to state-of-the-art), while maintaining accuracy by a self-measurement and digital pre-correction scheme. Chapter 5 describes the chip implementation of the sub-binary variable-radix DAC and discusses the experimental results. The results confirm that the sub-binary variable-radix design can achieve the smallest published current-source-array area for the given accuracy (12bit). Chapter 6 applies the smart concept to analog-to-digital converters, with as main goal the improvement of the overall performance in terms of a widely used figure-of-merit. Open-loop circuitry and time interleaving are shown to be key to achieve high-speed low-power solutions. It is suggested to apply a smart approach to reduce the effect of the imperfections, unintentionally caused by these key factors. On high-level, a global picture of the smart solution is proposed that can solve the problems while still maintaining power-efficiency. Chapter 7 deals with the design of a 500MSps open-loop track-and-hold circuit. This circuit is used as a test case to demonstrate the proposed smart approaches. Experimental results are presented and compared against prior art. Though there are several limitations in the design and the measurement setup, the measured performance is comparable to existing state-of-the-art. Chapter 8 introduces the first calibration method that counteracts the accuracy issues of the open-loop track-and-hold. A description of the method is given, and the implementation of the detection algorithm and correction circuitry is discussed. The chapter concludes with experimental measurement results. Chapter 9 introduces the second calibration method that targets the accuracy issues of time-interleaved circuits, in this case a 2-channel version of the implemented track-and-hold. The detection method, processing algorithm and correction circuitry are analyzed and their implementation is explained. Experimental results verify the usefulness of the method

    고속 DRAM μΈν„°νŽ˜μ΄μŠ€λ₯Ό μœ„ν•œ μ „μ•• 및 μ˜¨λ„μ— λ‘”κ°ν•œ 클둝 νŒ¨μŠ€μ™€ μœ„μƒ 였λ₯˜ ꡐ정기 섀계

    Get PDF
    ν•™μœ„λ…Όλ¬Έ (박사) -- μ„œμšΈλŒ€ν•™κ΅ λŒ€ν•™μ› : κ³΅κ³ΌλŒ€ν•™ 전기·정보곡학뢀, 2021. 2. 정덕균.To cope with problems caused by the high-speed operation of the dynamic random access memory (DRAM) interface, several approaches are proposed that are focused on the clock path of the DRAM. Two delay-locked loop (DLL) based schemes, a forwarded-clock (FC) receiver (RX) with self-tracking loop and a quadrature error corrector, are proposed. Moreover, an open-loop based scheme is presented for drift compensation in the clock distribution. The open-loop scheme consumes less power consumption and reduces design complexity. The FC RX uses DLLs to compensate for voltage and temperature (VT) drift in unmatched memory interfaces. The self-tracking loop consists of two-stage cascaded DLLs to operate in a DRAM environment. With the write training and the proposed DLL, the timing relationship between the data and the sampling clock is always optimal. The proposed scheme compensates for delay drift without relying on data transitions or re-training. The proposed FC RX is fabricated in 65-nm CMOS process and has an active area containing 4 data lanes of 0.0329 mm2. After the write training is completed at the supply voltage of 1 V, the measured timing margin remains larger than 0.31-unit interval (UI) when the supply voltage drifts in the range of 0.94 V and 1.06 V from the training voltage, 1 V. At the data rate of 6.4 Gb/s, the proposed FC RX achieves an energy efficiency of 0.45 pJ/bit. Contrary to the aforementioned scheme, an open-loop-based voltage drift compensation method is proposed to minimize power consumption and occupied area. The overall clock distribution is composed of a current mode logic (CML) path and a CMOS path. In the proposed scheme, the architecture of the CML-to-CMOS converter (C2C) and the inverter is changed to compensate for supply voltage drift. The bias generator provides bias voltages to the C2C and inverters according to supply voltage for delay adjustment. The proposed clock tree is fabricated in 40 nm CMOS process and the active area is 0.004 mm2. When the supply voltage is modulated by a sinusoidal wave with 1 MHz, 100 mV peak-to-peak swing from the center of 1.1 V, applying the proposed scheme reduces the measured root-mean-square (RMS) jitter from 3.77 psRMS to 1.61 psRMS. At 6 GHz output clock, the power consumption of the proposed scheme is 11.02 mW. A DLL-based quadrature error corrector (QEC) with a wide correction range is proposed for the DRAM whose clocks are distributed over several millimeters. The quadrature error is corrected by adjusting delay lines using information from the phase error detector. The proposed error correction method minimizes increased jitter due to phase error correction by setting at least one of the delay lines in the quadrature clock path to the minimum delay. In addition, the asynchronous calibration on-off scheme reduces power consumption after calibration is complete. The proposed QEC is fabricated in 40 nm CMOS process and has an active area of 0.048 mm2. The proposed QEC exhibits a wide correctable error range of 101.6 ps and the remaining phase errors are less than 2.18Β° from 0.8 GHz to 2.3 GHz clock. At 2.3 GHz, the QEC contributes 0.53 psRMS jitter. Also, at 2.3 GHz, the power consumption is reduced from 8.89 mW to 3.39 mW when the calibration is off.λ³Έ λ…Όλ¬Έμ—μ„œλŠ” 동적 랜덀 μ•‘μ„ΈμŠ€ λ©”λͺ¨λ¦¬ (DRAM)의 속도가 증가함에 따라 클둝 νŒ¨μŠ€μ—μ„œ λ°œμƒν•  수 μžˆλŠ” λ¬Έμ œμ— λŒ€μ²˜ν•˜κΈ° μœ„ν•œ μ„Έ 가지 νšŒλ‘œλ“€μ„ μ œμ•ˆν•˜μ˜€λ‹€. μ œμ•ˆν•œ νšŒλ‘œλ“€ 쀑 두 방식듀은 지연동기루프 (delay-locked loop) 방식을 μ‚¬μš©ν•˜μ˜€κ³  λ‚˜λ¨Έμ§€ ν•œ 방식은 면적과 μ „λ ₯ μ†Œλͺ¨λ₯Ό 쀄이기 μœ„ν•΄ μ˜€ν”ˆ 루프 방식을 μ‚¬μš©ν•˜μ˜€λ‹€. DRAM의 λΉ„μ •ν•© μˆ˜μ‹ κΈ° κ΅¬μ‘°μ—μ„œ 데이터 νŒ¨μŠ€μ™€ 클둝 패슀 κ°„μ˜ 지연 뢈일치둜 인해 μ „μ•• 및 μ˜¨λ„ 변화에 따라 μ…‹μ—… νƒ€μž„ 및 ν™€λ“œ νƒ€μž„μ΄ μ€„μ–΄λ“œλŠ” 문제λ₯Ό ν•΄κ²°ν•˜κΈ° μœ„ν•΄ 지연동기루프λ₯Ό μ‚¬μš©ν•˜μ˜€λ‹€. μ œμ•ˆν•œ 지연동기루프 νšŒλ‘œλŠ” DRAM ν™˜κ²½μ—μ„œ λ™μž‘ν•˜λ„λ‘ 두 개의 μ§€μ—°λ™κΈ°λ£¨ν”„λ‘œ λ‚˜λˆ„μ—ˆλ‹€. λ˜ν•œ 초기 μ“°κΈ° ν›ˆλ ¨μ„ 톡해 데이터와 클둝을 타이밍 λ§ˆμ§„ κ΄€μ μ—μ„œ 졜적의 μœ„μΉ˜μ— λ‘˜ 수 μžˆλ‹€. λ”°λΌμ„œ μ œμ•ˆν•˜λŠ” 방식은 데이터 천이 정보가 ν•„μš”ν•˜μ§€ μ•Šλ‹€. 65-nm CMOS 곡정을 μ΄μš©ν•˜μ—¬ λ§Œλ“€μ–΄μ§„ 칩은 6.4 Gb/sμ—μ„œ 0.45 pJ/bit의 μ—λ„ˆμ§€ νš¨μœ¨μ„ 가진닀. λ˜ν•œ 1 Vμ—μ„œ μ“°κΈ° ν›ˆλ ¨ 및 지연동기루프λ₯Ό κ³ μ •μ‹œν‚€κ³  0.94 Vμ—μ„œ 1.06 VκΉŒμ§€ 곡급 전압이 λ°”λ€Œμ—ˆμ„ λ•Œ 타이밍 λ§ˆμ§„μ€ 0.31 UI보닀 큰 값을 μœ μ§€ν•˜μ˜€λ‹€. λ‹€μŒμœΌλ‘œ μ œμ•ˆν•˜λŠ” νšŒλ‘œλŠ” 클둝 뢄포 νŠΈλ¦¬μ—μ„œ μ „μ•• λ³€ν™”λ‘œ 인해 클둝 패슀의 지연이 λ‹¬λΌμ§€λŠ” 것을 μ•žμ„œ μ œμ‹œν•œ 방식과 달리 μ˜€ν”ˆ 루프 λ°©μ‹μœΌλ‘œ λ³΄μƒν•˜μ˜€λ‹€. κΈ°μ‘΄ 클둝 패슀의 인버터와 CML-to-CMOS λ³€ν™˜κΈ°μ˜ ꡬ쑰λ₯Ό λ³€κ²½ν•˜μ—¬ λ°”μ΄μ–΄μŠ€ 생성 νšŒλ‘œμ—μ„œ μƒμ„±ν•œ 곡급 전압에 따라 λ°”λ€ŒλŠ” λ°”μ΄μ–΄μŠ€ 전압을 가지고 지연을 μ‘°μ ˆν•  수 있게 ν•˜μ˜€λ‹€. 40-nm CMOS 곡정을 μ΄μš©ν•˜μ—¬ λ§Œλ“€μ–΄μ§„ 칩의 6 GHz ν΄λ‘μ—μ„œμ˜ μ „λ ₯ μ†Œλͺ¨λŠ” 11.02 mW둜 μΈ‘μ •λ˜μ—ˆλ‹€. 1.1 V μ€‘μ‹¬μœΌλ‘œ 1 MHz, 100 mV 피크 투 피크λ₯Ό κ°€μ§€λŠ” μ‚¬μΈνŒŒ μ„±λΆ„μœΌλ‘œ 곡급 전압을 λ³€μ‘°ν•˜μ˜€μ„ λ•Œ μ œμ•ˆν•œ λ°©μ‹μ—μ„œμ˜ μ§€ν„°λŠ” κΈ°μ‘΄ λ°©μ‹μ˜ 3.77 psRMSμ—μ„œ 1.61 psRMS둜 μ€„μ–΄λ“€μ—ˆλ‹€. DRAM의 솑신기 κ΅¬μ‘°μ—μ„œ 닀쀑 μœ„μƒ 클둝 κ°„μ˜ μœ„μƒ μ˜€μ°¨λŠ” μ†‘μ‹ λœ λ°μ΄ν„°μ˜ 데이터 유효 창을 κ°μ†Œμ‹œν‚¨λ‹€. 이λ₯Ό ν•΄κ²°ν•˜κΈ° μœ„ν•΄ 지연동기루프λ₯Ό λ„μž…ν•˜κ²Œ 되면 μ¦κ°€λœ μ§€μ—°μœΌλ‘œ 인해 μœ„μƒμ΄ κ΅μ •λœ ν΄λ‘μ—μ„œ 지터가 μ¦κ°€ν•œλ‹€. λ³Έ λ…Όλ¬Έμ—μ„œλŠ” μ¦κ°€λœ 지터λ₯Ό μ΅œμ†Œν™”ν•˜κΈ° μœ„ν•΄ μœ„μƒ κ΅μ •μœΌλ‘œ 인해 μ¦κ°€λœ 지연을 μ΅œμ†Œν™”ν•˜λŠ” μœ„μƒ ꡐ정 회둜λ₯Ό μ œμ‹œν•˜μ˜€λ‹€. λ˜ν•œ 유휴 μƒνƒœμ—μ„œ μ „λ ₯ μ†Œλͺ¨λ₯Ό 쀄이기 μœ„ν•΄ μœ„μƒ 였차λ₯Ό κ΅μ •ν•˜λŠ” 회둜λ₯Ό μž…λ ₯ 클둝과 λΉ„λ™κΈ°μ‹μœΌλ‘œ 끌 수 μžˆλŠ” 방법 λ˜ν•œ μ œμ•ˆν•˜μ˜€λ‹€. 40-nm CMOS 곡정을 μ΄μš©ν•˜μ—¬ λ§Œλ“€μ–΄μ§„ 칩의 μœ„μƒ ꡐ정 λ²”μœ„λŠ” 101.6 ps이고 0.8 GHz λΆ€ν„° 2.3 GHzκΉŒμ§€μ˜ λ™μž‘ 주파수 λ²”μœ„μ—μ„œ μœ„μƒ κ΅μ •κΈ°μ˜ 좜λ ₯ 클둝의 μœ„μƒ μ˜€μ°¨λŠ” 2.18°보닀 μž‘λ‹€. μ œμ•ˆν•˜λŠ” μœ„μƒ ꡐ정 회둜둜 인해 μΆ”κ°€λœ μ§€ν„°λŠ” 2.3 GHzμ—μ„œ 0.53 psRMS이고 ꡐ정 회둜λ₯Ό 껐을 λ•Œ μ „λ ₯ μ†Œλͺ¨λŠ” ꡐ정 νšŒλ‘œκ°€ μΌœμ‘Œμ„ λ•ŒμΈ 8.89 mWμ—μ„œ 3.39 mW둜 μ€„μ–΄λ“€μ—ˆλ‹€.Chapter 1 Introduction 1 1.1 Motivation 1 1.2 Thesis Organization 4 Chapter 2 Background on DRAM Interface 5 2.1 Overview 5 2.2 Memory Interface 7 Chapter 3 Background on DLL 11 3.1 Overview 11 3.2 Building Blocks 15 3.2.1 Delay Line 15 3.2.2 Phase Detector 17 3.2.3 Charge Pump 19 3.2.4 Loop filter 20 Chapter 4 Forwarded-Clock Receiver with DLL-based Self-tracking Loop for Unmatched Memory Interfaces 21 4.1 Overview 21 4.2 Proposed Separated DLL 25 4.2.1 Operation of the Proposed Separated DLL 27 4.2.2 Operation of the Digital Loop Filter in DLL 31 4.3 Circuit Implementation 33 4.4 Measurement Results 37 4.4.1 Measurement Setup and Sequence 38 4.4.2 VT Drift Measurement and Simulation 40 Chapter 5 Open-loop-based Voltage Drift Compensation in Clock Distribution 46 5.1 Overview 46 5.2 Prior Works 50 5.3 Voltage Drift Compensation Method 52 5.4 Circuit Implementation 57 5.5 Measurement Results 61 Chapter 6 Quadrature Error Corrector with Minimum Total Delay Tracking 68 6.1 Overview 68 6.2 Prior Works 70 6.3 Quadrature Error Correction Method 73 6.4 Circuit Implementation 82 6.5 Measurement Results 88 Chapter 7 Conclusion 96 Bibliography 98 초둝 102Docto
    • …
    corecore