1,448 research outputs found

    Spectroscopic characterisation of high dielectric constant materials on semiconducting surfaces

    Get PDF
    In this work, various insulator/semiconductor interfaces on silicon, gallium arsenide (GaAs) and germanium have been studied by photoemission spectroscopy. Where possible, these interfaces were then tested electrically after the formation of capacitor structures. Each system presents its own unique challenges in the drive to the ultimate goal of developing smaller, faster and lower powered devices. On silicon substrates, an in-situ analysis of hafnium oxide grown by micro e-beam deposition in an oxygen atmosphere on an ultra-thin SiO2 buffer layer was carried out using XPS and synchrotron radiation. The self-limiting growth of an interfacial hafnium silicate layer was observed and the substrate temperature during the hafnium oxide depositions was found effect the onset temperature of hafnium silicide formation during post-deposition anneals. Hafnium oxide has also been grown ex-situ on silicon substrates by e-beam deposition in an oxygen atmosphere. The affects of an argon plasma ion assisted deposition technique (used in the growth of optical coatings to aid in film densification) and the oxygen back pressure on the growth of an interfacial oxide region were investigated by XPS. On gallium arsenide substrates, the elimination of anomalous frequency dispersion of the accumulation capacitance of GaAs high dielectric constant (high-κ) MOS devices by the use of a passivating silicon interfacial layer has been investigated. It has typically been assumed that this affect is due to a high interface state density and associated Fermi level pinning which is avoided by utilizing a high-κ/Si interface instead of the high-κ/GaAs interface. The surface treatment prior to silicon deposition is shown here to be a critical step in reducing this effect. Samples with and without frequency dispersion of the accumulation capacitance but with equally high interface state densities are presented, indicating that interface state density may not be the sole cause of the frequency dispersion of the accumulation capacitance. On germanium substrates, the use of a GeON based high-κ dielectric was investigated, as the water soluble native oxide of germanium has been shown in many works to be stabilised by the addition of nitrogen. A preliminary characterisation study of the interfacial quality of the GeON/Ge system is presented. Hafnium germanate has shown promise on silicon and here it has been analysed, with XPS and UPS on germanium substrates with 3 interfacial layers previously reported in the literature

    Composition dependence of electronic structure and optical properties of Hf1-xSixOy gate dielectrics

    Get PDF
    Copyright © 2008 American Institute of Physics. This article is copyrighted as indicated in the article. Reuse of AIP content is subject to the terms at: http://scitation.aip.org/termsconditionsComposition-dependent electronic structure and optical properties of Hf1−xSixOy 0.1 x 0.6 gate dielectrics on Si at 450 °C grown by UV-photo-induced chemical vapor deposition UV-CVD have been investigated via x-ray photoemission spectroscopy and spectroscopy ellipsometry SE . By means of the chemical shifts in the Hf 4f, Si 2p, and O 1s spectra, the Hf–O–Si bondings in the as-deposited films have been confirmed. Analyses of composition-dependent band alignment of Hf1−xSixOy / Si gate stacks have shown that the valence band VB offset Ev demonstrates little change; however, the values of conduction band offset Ec increase with the increase in the silicon atomic composition, resulting from the increase in the separation between oxygen 2p orbital VB state and antibonding d states intermixed of Hf and Si. Analysis by SE, based on the Tauc–Lorentz model, has indicated that decreases in the optical dielectric constant and increase in band gap have been observed as a function of silicon contents. Changes in the complex dielectric functions and band gap Eg related to the silicon concentration in the films are discussed systematically. From the band offset and band gap viewpoint, these results suggest that Hf1−xSixOy films provide sufficient tunneling barriers for electrons and holes, making them promising candidates as alternative gate dielectrics.National Natural Science Foundation of China and Royal Society U.K

    Doped And Chemically Transformed Transition Metal Dichalcogenides (tmdcs) For Two-Dimensional (2d) Electronics

    Get PDF
    Transition metal dichalcogenides (TMDCs) as the semiconductor counterparts of gra-phene have emerged as promising channel materials for flexible electronic and optoelectronic devices. The 2D layer structure of TMDCs enables the ultimate scaling of TMDC-based devices down to atomic thickness. Furthermore, the absence of dangling bonds in these materials helps to form high quality heterostructures with ultra-clean interfaces. The main objective of this work is to develop novel approaches to fabricating TMDC-based 2D electronic devices such as diodes and transistors. In the first part, we have fabricated 2D p-n junction diodes through van der Waals assembly of heavily p-doped MoS2 (WSe2) and lightly n-doped MoS2 to form vertical homo-(hetero-) junctions, which allows to continuously tune the electron concentration on the n-side for a wide range. In sharp contrast to conventional p-n junction diodes, we have observed nearly exponential dependence of the reverse-current on gate-voltage in our 2D p-n junction devices, which can be attributed to band-to-band tunneling through a gate-tunable tunneling barrier. In the second part, we developed a new strategy to engineer high-κ dielectrics by con-verting atomically thin metallic 2D TMDCs into high-κ dielectrics because it remains a signifi-cant challenge to deposit uniform high-κ dielectric thin films on TMDCs with ALD due to the lack of dangling bonds on the surfaces of TMDCs. In our study, we converted mechanically ex-foliated atomically thin layers of a 2D metal, TaS2 (HfSe2) into a high-κ dielectric, Ta2O5 (HfO2) by thermal oxidation. X-ray photoelectron spectroscopy (XPS), transmission electron microscopy (TEM), energy dispersive spectroscopy (EDS), and atomic force microscopy (AFM) were used to understand the phase conversion process. Capacitance-voltage (C-V) measure-ments were carried out to determine the dielectric constant of thermally oxidized dielec-trics. We fabricated MoS2 field-effect transistors (FETs) with thermally oxidized ultra-thin and ultra-smooth Ta2O5 as top-gate and bottom-gate high-κ dielectric layers. We observed promis-ing device performance, including a nearly ideal subthreshold swing of ~ 61 mV/dec at room temperature, negligible hysteresis, drain-current saturation in the output characteristics, a high on/off ratio ~ 106, and a room temperature field-effect mobility exceeding 60 cm2/Vs. To fur-ther reduce the leak current and improve the device performance, we have also investigated the chemical transformation of HfSe2 to HfO2 high-κ dielectric, which has significantly larger band gap than Ta2O5

    Luminescence in anion-deficient hafnia nanotubes

    Full text link
    Hafnia-based nanostructures and other high-k dielectrics are promising wide-gap materials for developing new opto- and nanoelectronics devices. They possess a unique combination of physical and chemical properties such as insensitivity to electrical and optical degradation, radiation damage stability, a high specific surface area, and an increased concentration of the appropriate active electron-hole centers. The present paper aims to investigate the structural, optical, and luminescent properties of anodized non-stoichiometric HfO2HfO_2 nanotubes. As-grown amorphous hafnia nanotubes and nanotubes annealed at 700{\deg}C with a monoclinic crystal lattice served as samples. It has been shown that the bandgap EgE_g for direct allowed transitions amounts to 5.65±0.055.65\pm0.05 eV for amorphous and 5.51±0.055.51\pm0.05 eV for monoclinic nanotubes. For the first time, we have studied the features of the intrinsic cathodoluminescence and photoluminescence of the obtained nanotubular HfO2HfO_2 structures with an atomic deficiency in the anion sublattice at temperatures of 10 and 300 K. A broad emission band with a maximum of 2.3-2.4 eV has been revealed. We have also conducted an analysis of the kinetic dependencies of the observed photoluminescence for synthesized HfO2HfO_2 samples in the millisecond range at room temperature. It showed that there are several types of optically active capture and emission centers based on vacancy states in the O3fO_{3f} and O4fO_{4f} positions with different coordination numbers and a varied number of localized charge carriers (V0V^0, VV^-, and V2V^{2-}). The uncovered regularities can be used to optimize the functional characteristics of developed-surface luminescent media based on nanotubular and nanoporous modifications of hafnia.Comment: 15 pages, 6 figures, 3 tables, 50 reference

    HfO2 as gate dielectric on Si and Ge substrate

    Get PDF
    Hafnium oxide HfO2 has been considered as an alternative to silicon dioxide SiO2 in future nano-scale complementary metal-oxide-semiconductor (CMOS) devices since it provides the required capacitance at the reduced device size because of its high dielectric constant. HfO2 films are currently deposited by various techniques. Many of them require high temperature annealing that can impact device performance and reliability. In this research, electrical characteristics of capacitors with HfO2 as gate dielectric deposited by standard thermal evaporation and e-beam evaporation on Si and Ge substrates were investigated. The dielectric constant of HfO2 deposited by thermal evaporation on Si is in the range of 18-25. Al/HfO2/Si MOS capacitors annealed at 450°C show low hysteresis, leakage current density and bulk oxide charges. Interface state density and low temperature charge trapping behavior of these structures were also investigated. Degradation in surface carrier mobility has been reported in Si field-effect-transistors with HfO2 as gate dielectric. To explore the possibility of alleviating this problem we have used germanium (Ge) substrate as this semiconductor has higher carrier mobility than Si. Devices fabricated by depositing HfO2 directly on Ge by standard thermal evaporation were found to be too leaky and show significant hysteresis and large shift in flatband voltage. This deterioration in electrical performance is mainly due to the formation of unstable interfacial layer of GeO2 during the HfO2 deposition. To minimize this effect, Ge surface was treated with the beam of atomic nitrogen prior to the dielectric deposition. The effect of surface nitridation, on interface as well as on bulk oxide, trap energy levels were investigated using low temperature C-V measurements. They revealed additional defect levels in the nitrided devices indicating diffusion of nitrogen from interface into the bulk oxide. Impact of surface nitridation on the reliability of Ge/HfO2/Al MOS capacitors has been investigated by application of constant voltage stress at different voltage levels for various time periods. It was observed that deeper trap levels in nitrided devices, found from low frequency and low temperature measurements, trap the charge carrier immediately after stress but with time these carriers detrap and create more traps inside the bulk oxide resulting in further devices deterioration. It is inferred that though nitrogen is effective in reducing interfacial layer growth it incorporates more defects at interface as well as in bulk oxide. Therefore, it is important to look into alternative methods of surface passivation to limit the growth of GeO2 at the interface

    Substoichiometric Phases of Hafnium Oxide with Semiconducting Properties

    Get PDF
    Since the dawn of the information age, all developments that provided a significant improvement in information processing and data transmission have been considered as key technologies. The impact of ever new data processing innovations on the economy and almost all areas of our daily lives is unprecedented and a departure from this trend is unimaginable in the near future. Even though the end of Moore's Law has been predicted all too often, the steady exponential growth of computing capacity remains unaffected to this day, due to tremendous commercial pressure. While the minimum physical size of the transistor architecture is a serious constraint, the steady evolution of computing effectiveness is not limited in the predictable future. However, the focus of development will have to expand more strongly to other technological aspects of information processing. For example, the development of new computer paradigms which mark a departure from the digitally dominated van Neumann architecture will play an increasingly significant role. The category of so-called next-generation non-volatile memory technologies, based on various physical principles such as phase transformation, magnetic or ferroelectric properties or ion diffusion, could play a central role here. These memory technologies promise in part strongly pronounced multi-bit properties up to quasi-analog switching behavior. These attributes are of fundamental importance especially for new promising concepts of information processing like in-memory computing and neuromorphic processing. In addition, many next-generation non-volatile memory technologies already show advantages over conventional media such as Flash memory. For example, their application promises significantly reduced energy consumption and their write and especially read speeds are in some cases far superior to conventional technology and could therefore already contribute significant technological improvements to the existing memory hierarchy. However, these alternative concepts are currently still limited in terms of their statistical reliability, among other things. Even though phase change memory in the form of the 3D XPoint, for example, has already been commercialized, the developments have not yet been able to compete due to the enormous commercial pressure in Flash memory research. Nevertheless, the further development of alternative concepts for the next and beyond memory generations is essential and the in-depth research on next-generation non-volatile memory technologies is therefore a hot and extremely important scientific topic. This work focuses on hafnium oxide, a key material in next-generation non-volatile memory research. Hafnium oxide is very well known in the semiconductor industry, as it generated a lot of attention in the course of high-k research due to its excellent dielectric properties and established CMOS compatibility. However, since the growing interest in so-called memristive memory, research efforts have primarily focused on the value of hafnium oxide in the form of resistive random-access memory (RRAM) and, with the discovery of ferroelectricity in HfO₂, ferroelectric resistive random-access memory (FeRAM). RRAM is a next-generation non-volatile memory technology that features a simple metal-insulator-metal (MIM) structure, excellent scalability, and potential 3D integration. In particular, the aforementioned gradual to quasi-continuous switching behavior has been demonstrated on a variety of RRAM systems. A significant change of the switching properties is achievable, for example, by the choice of top and bottom electrodes, the introduction of doping elements, or by designated oxygen deficiency. In particular, the last point is based on the basic physical principle of the hafnium oxide-based RRAM mechanism, in which local oxygen ions are stimulated to diffuse by applying an electrical potential, and a so-called conducting filament is formed by the remaining vacancies, which electrically connects the two electrode sides. The process is characterized by the reversibility of the conducting filament which can be dissolved by a suitable I-V programming (e.g., reversal of the voltage direction). In the literature there are some predictions of sub-stoichiometric hafnium oxide phases, such as Hf₂O₃, HfO or Hf₆O, which could be considered as conducting filament phases, but there is a lack of conclusive experimental results. While there are studies that assign supposed structures in oxygen-deficient hafnium oxide thin films, these assignments are mostly based on references from various stoichiometric hafnium oxide high-temperature phases such as tetragonal t-HfO₂ (P4₂/nmc) or cubic c-HfO₂ (Fm-3m), or high-pressure phases such as orthorhombic o-HfO₂ (Pbca). Furthermore, the structural identification of such thin films proves to be difficult, as they are susceptible to arbitrary texturing and reflection broadening in X-ray diffraction. In addition, such thin films are usually synthesized as phase mixtures with monoclinic hafnium oxide. A further challenge in property determination is given by their usual arrangement in MIM configuration, which is determined by the quality of top and bottom electrodes and their interfaces to the active material. It is therefore a non-trivial task to draw conclusions on individual material properties such as electrical conductivity in such (e.g., oxygen-deficient) RRAM devices. To answer these open questions, this work is primarily devoted to material properties of oxygen-deficient hafnium oxide phases. Therefore, in the first comprehensive study of this work, Molecular-Beam Epitaxy (MBE) was used to synthesize hafnium oxide phases over a wide oxidation range from monoclinic to hexagonal hafnium oxide. The hafnium oxide films were deposited on c-cut sapphire to achieve effective phase selection and identification by epitaxial growth, taking into account the position of relative lattice planes. In addition, the choice of a substrate with a high band gap and optical transparency enabled the direct investigation of both optical and electrical properties by means of UV/Vis transmission spectroscopy and Hall effect measurements. With additional measurements via X-ray diffraction (XRD), X-ray reflectometry (XRR), X-ray photoelectron spectroscopy (XPS) and high-resolution transmission electron microscopy (HRTEM), the oxygen content-dependent changes in crystal as well as band structure could be correlated with electrical properties. Based on these results, a comprehensive band structure model over the entire oxidation range from insulating HfO₂ to metallic Hf was established, highlighting the discovered intermediate key structures of rhombohedral r-HfO₁.₇ and hexagonal hcp-HfO₀.₇. In the second topic of this work, the phase transition from stoichiometric monoclinic to oxygen-deficient rhombohedral hafnium oxide was complemented by DFT calculations in collaboration with the theory group of Prof. Valentí (Frankfurt am Main). A detailed comparison between experimental results and DFT calculations confirms previously assumed mechanisms for phase stabilization. In addition, the comparison shows a remarkable agreement between experimental and theoretical results on the crystal- and band stucture. The calculations allowed to predict the positions of oxygen ions in oxygen-deficient hafnium oxide as well as the associated space group. Also, the investigations provide information on the thermodynamic stability of the corresponding phases. Finally, the orbital-resolved hybridization of valence states influenced by oxygen vacancies is discussed. Another experimental study deals with the reproduction and investigation, of the aforementioned substoichiometric hafnium oxide phases in MIM configuration which is typical for RRAM devices. Special attention was given to the influence of surface oxidation effects. Here, it was found that the oxygen-deficient phases r-HfO₁.₇ and hcp-HfO₀.₇ exhibit high ohmic conductivity as expected, but stable bipolar switching behavior as a result of oxidation in air. Here, the mechanism of this behavior was discussed and the role of the r-HfO₁.₇ and hcp-HfO₀.₇ phases as novel electrode materials in hafnium oxide-based RRAM in particular. In collaboration with the electron microscopy group of Prof. Molina Luna, the studied phases, which have been characterized by rather macroscopic techniques so far, have been analyzed by wide-ranging TEM methodology. The strong oxygen deficiency in combination with the verified electrical conductivity of r-HfO₁.₇ and hcp-HfO₀.₇ shows the importance of the identification of these phases on the nanoscale. Such abilities are essential for the planned characterization of the "conducting-filament" mechanism. Here, the ability to distinguish m-HfO₂, r-HfO₁.₇, and hcp-HfO₀.₇ using high-resolution transmission electron microscopy (HRTEM), Automated Crystal Orientation and Phase Mapping (ACOM), and Electron Energy Loss Spectroscopy (EELS), is demonstrated and the necessity of combined measurements for reliable phase identification was discussed. Finally, a series of monoclinic to rhombohedral hafnium oxide was investigated in a cooperative study with FZ Jülich using scanning probe microscopy. Since recent studies in particular highlight the significance of the microstructure in stoichiometric hafnium oxide-based RRAM, the topological microstructure in the region of the phase transition to strongly oxygen deficient rhombohedral hafnium oxide was investigated. Special attention was given to the correlation of microstructure and conductivity. In particular, the influences of grain boundaries on electrical properties were discussed. In summary, this work provides comprehensive insights into the nature and properties of sub-stoichiometric hafnium oxide phases and their implications on the research of hafnium oxide-based RRAM technology. Taking into account a wide range of scientific perspectives, both, the validity of obtained results and the wide range of their application is demonstrated. Thus, this dissertation provides a detailed scientific base to the understanding of hafnium oxide-based electronics

    Organic transistors based on pentacene and dibenzothiophene derivatives

    Get PDF
    This thesis is concerned with the fabrication and characterisation of organic thin film transistors. Initially, pentacene thin films were investigated, with results comparable to those found in published literature. Initial studies of pentacene transistors revealed a poor hole mobility of 6.3 x 10(^-3) cm(^2)/V/s. Improvements in the fabrication process (using a more conductive silicon wafer as the gate, and treating the silicon oxide surface with a silanising agent) increased the mobility to around 0.1 cm(^2)/V/s. Better pentacene deposition conditions allowed a polycrystalline structure to form, with dendritic grains of the order of 2-3 pm in size. This increased the mobility of the transistor further, to 0.54 cm(^2)/V/s. Treatment of the silicon oxide surface prior to pentacene deposition was found to affect significantly the hysteresis in the transfer characteristics. Removal of photoresist with acetone and propan-2-ol prior to pentacene deposition resulted in fairly large threshold voltages, with an average shift between the off-on and on-off threshold voltages of 9.7 V. Application of an oxygen plasma prior to deposition resulted in decreased threshold voltages, and a reduced threshold voltage shift of 3.8 V. The hysteresis was attributed to charge trapping on the oxide surface due to organic contamination; the oxygen plasma served to reduce this. X-Ray Photoelectron Spectroscopy measurements confirmed this - following a plasma treatment, the carbon content on the surface was reduced significantly. Incorporation of a layer of gold nanoparticles between the oxide and pentacene was found to provide charge traps - this might be exploited in memory devices. Replacing the silicon oxide with PMMA produced favorable results. Negative threshold voltages with low hysteresis were observed for all the devices. Mobilities of up to 0.21 cm(^2)/V/s were recorded for devices with a 124 nm PMMA layer; a thinner (80 nm) layer of PMMA resulted in reduced mobility, as did a thicker (350 nm) layer. Replacing the silicon oxide with sputtered hafnium oxide produced devices with a large number of defects. Deposited pentacene did not form optimal crystal structures, and the output characteristics of a number of devices showed no significant variation with source-drain voltage. These output characteristics were therefore assumed to be the result of leakage through the oxide. The measured device that showed reasonable output characteristics was found to have a mobility of 0.59 cm(^2)/V/s demonstrating that hafnium oxide could have good potential as a dielectric, if deposited in a manner not resulting in a leaky oxide. Three dibenzothiophene-based molecules, synthesised in the University of Durham, were characterised and thin film transistors fabricated. 3,7-bis(dibenzothiophene-4-yl)-dibenzothiophene-5',S'-dioxide exhibited the characteristics of an air-stable n-type device, with a mobility of 3.5 x 10(^-6)cm(^2)/V/s. A related molecule, 3,7-bis(4-(ethylsulfonyl)phenyl)dibenzo[b,d]jthiophene, incorporating additional electron-deficient groups, did not exhibit any field-effect modified behaviour. A third molecule, that did not contain electron-deficient groups, exhibited p-type behaviour, and transistors showed good output characteristics, but only possessed a mobility of 3.7 x 10(^5) cm(^2)/V/s; the low mobility was attributed to the lack of long-range order in the structure of the deposited film

    Electrical properties of ultra thin Al2O3 and HfO2 films as gate dielectrics in MOS technology

    Get PDF
    The rapidly evolving silicon industry demands devices with high-speed and low power consumption. This has led to aggressive scaling of the dimensions in metal oxide semiconductor field effect transistors (MOSFETs). The channel length has been reduced as a result of this scaling. The industry favorite, SlO2, has reached limitations in the thickness regime of 1-1.5 nm as a gate dielectric. High-κ gate dielectrics such as Al203 and HfO2 and their silicates are some of the materials that may, probably, replace SlO2, as gate dielectric in the next four to five years. The present study is an attempt to understand the electrical characteristics of these exciting materials grown by atomic layer deposition (ALD) technique. The flat band voltages (VFB) were determined from C-V measurements on circularly patterned MOS capacitors. For phosphorous doped polysilicon electrodes and Al-oxide based dielectrics, positive shifts in VFB were observed, relative to a pure SlO2 control, ranging from 0.2 to 0.8V. It is believed that this is caused by fixed charges. Rapid thermal annealing at 1000°C tends to decrease VFB relative to a 800°C anneal. Changes in VFB UP to 0.35 V are observed for films deposited over SlO2 underlayers, while smaller changes, up to 0.05 V, are observed for films deposited directly on Si. Spike annealing is also observed to reduce oxide leakage. HfO2 showed large amount of leakage resulting in difficulty in performing capacitance measurements. ZrO2 was found to be reacting with polycrystalline silicon and thus high leakage current was observed

    Investigation of Gate Dielectric Materials and Dielectric/Silicon Interfaces for Metal Oxide Semiconductor Devices

    Get PDF
    The progress of the silicon-based complementary-metal-oxide-semiconductor (CMOS) technology is mainly contributed to the scaling of the individual component. After decades of development, the scaling trend is approaching to its limitation, and there is urgent needs for the innovations of the materials and structures of the MOS devices, in order to postpone the end of the scaling. Atomic layer deposition (ALD) provides precise control of the deposited thin film at the atomic scale, and has wide application not only in the MOS technology, but also in other nanostructures. In this dissertation, I study rapid thermal processing (RTP) treatment of thermally grown SiO2, ALD growth of SiO2, and ALD growth of high-k HfO2 dielectric materials for gate oxides of MOS devices. Using a lateral heating treatment of SiO2, the gate leakage current of SiO2 based MOS capacitors was reduced by 4 order of magnitude, and the underlying mechanism was studied. Ultrathin SiO2 films were grown by ALD, and the electrical properties of the films and the SiO2/Si interface were extensively studied. High quality HfO2 films were grown using ALD on a chemical oxide. The dependence of interfacial quality on the thickness of the chemical oxide was studied. Finally I studied growth of HfO2 on two innovative interfacial layers, an interfacial layer grown by in-situ ALD ozone/water cycle exposure and an interfacial layer of etched thermal and RTP SiO2. The effectiveness of growth of high-quality HfO2 using the two interfacial layers are comparable to that of the chemical oxide. The interfacial properties are studied in details using XPS and ellipsometry

    Intrinsic Charge Trapping in Amorphous Oxide Films: Status and Challenges

    Get PDF
    We review the current understanding of intrinsic electron and hole trapping in insulating amorphous oxide films on semiconductor and metal substrates. The experimental and theoretical evidences are provided for the existence of intrinsic deep electron and hole trap states caused by the disorder of amorphous metal oxide films. We start from presenting the results for amorphous (a) HfO<sub>2</sub>, chosen due to the availability of highest purity amorphous films, which is vital for studying their intrinsic electronic properties. Exhaustive photo-depopulation spectroscopy (EPDS) measurements and theoretical calculations using density functional theory (DFT) shed light on the atomic nature of electronic gap states responsible for deep electron trapping observed in a-HfO<sub>2</sub>. We review theoretical methods used for creating models of amorphous structures and electronic structure calculations of amorphous oxides and outline some of the challenges in modelling defects in amorphous materials. We then discuss theoretical models of electron polarons and bi-polarons in a-HfO<sub>2</sub> and demonstrate that these intrinsic states originate from low-coordinated ions and elongated metal-oxygen bonds in the amorphous oxide network. Similarly, holes can be captured at under-coordinated O sites. We then discuss electron and hole trapping in other amorphous oxides, such as a-SiO<sub>2</sub>, a-Al<sub>2</sub>O<sub>3</sub>, a-TiO<sub>2</sub>. We propose that the presence of low-coordinated ions in amorphous oxides with electron states of significant p and d character near the conduction band minimum (CBM) can lead to electron trapping and that deep hole trapping should be common to all amorphous oxides. Finally, we demonstrate that bi-electron trapping in a-HfO<sub>2</sub> and a-SiO<sub>2</sub> weakens Hf(Si)-O bonds and significantly reduces barriers for forming Frenkel defects, neutral O vacancies and O<sup>2-</sup> ions in these materials. These results should be useful for better understanding of electronic properties and structural evolution of thin amorphous films under carrier injection conditions
    corecore