1,490 research outputs found

    TOWARDS INTEGRATION OF GRAPHENE IN ADVANCED CMOS INTERCONNECT TECHNOLOGY

    Get PDF
    The integration of graphene into existing state-of-the-art semiconductor manufacturing is a topic of worldwide interest. With its unprecedented electrical, thermal and mechanical properties, graphene is ideally suited for back-end of line (BEOL) technology to boost the performance of on-chip copper (Cu) interconnects. However, the lack of BEOL compatible methods has stymied the true evaluation of Cu/graphene hybrid (Cu-G) technology. The objectives of this thesis proposal are to demonstrate BEOL-compatible graphene growth techniques, and explore various avenues for practical integration of graphene in order to achieve better electrical, thermal and reliability metrics than traditional interconnect technology

    Porous Low-Dielectric-Constant Material for Semiconductor Microelectronics

    Get PDF
    To provide high speed, low dynamic power dissipation, and low cross-talk noise for microelectronic circuits, low-dielectric-constant (low-k) materials are required as the inter- and intra-level dielectric (ILD) insulator of the back-end-of-line interconnects. Porous low-k materials have low-polarizability chemical compositions and the introducing porosity in the film. Integration of porous low-k materials into microelectronic circuits, however, poses a number of challenges because the composition and porosity affected the resistance to damage during integration processing and reduced the mechanical strength, thereby degrading the properties and reliability. These issues arising from porous low-k materials are the subject of the present chapter

    ์ฐจ์„ธ๋Œ€ ๋ฐ˜๋„์ฒด ๋ฐฐ์„ ์„ ์œ„ํ•œ ์ฝ”๋ฐœํŠธ ํ•ฉ๊ธˆ ์ž๊ฐ€ํ˜•์„ฑ ํ™•์‚ฐ๋ฐฉ์ง€๋ง‰ ์žฌ๋ฃŒ ์„ค๊ณ„ ๋ฐ ์ „๊ธฐ์  ์‹ ๋ขฐ์„ฑ์— ๋Œ€ํ•œ ์—ฐ๊ตฌ

    Get PDF
    ํ•™์œ„๋…ผ๋ฌธ(๋ฐ•์‚ฌ) -- ์„œ์šธ๋Œ€ํ•™๊ต๋Œ€ํ•™์› : ๊ณต๊ณผ๋Œ€ํ•™ ์žฌ๋ฃŒ๊ณตํ•™๋ถ€, 2022.2. ์ฃผ์˜์ฐฝ.Recently, the resistance-capacitance (RC) delay of the Cu interconnects in metal 1 (M1) level has been increased rapidly due to the reduction of the interconnect linewidth along with the transistor scaling down, and the interconnect reliability becomes a severe issue again. In order to overcome interconnect performance problems and move forward to the next-generation interconnects system, study on low resistivity (ฯo) and low electron mean free path (ฮป) metals was conducted. Generally, metals such as Cobalt (Co), Ruthenium (Ru), and Molybdenum (Mo) are mentioned as candidates for next-generation interconnect materials, and since they have a low ฯo ร— ฮป value, it is expected that the influence of interface scatterings and surface scattering can be minimized. However, harsh operating environments such as high electric fields, critical Joule heating, and reduction of the pitch size are severely deteriorating the performance of electronic devices as well as device reliability. For example, since time dependent dielectric breakdown (TDDB) problems for next-generation interconnect system have been reported recently, it is necessary to study alternative barrier materials and processes to improve the interconnect reliability. Specifically, extrinsic dielectric breakdown due to penetration of Co metal ions in high electric fields has been reported as a reliability problem to be solved in Co interconnect systems. Therefore, there is a need for new material system design and research on a robust diffusion barrier that prevents metal ions from penetrating into the dielectric, thereby improving the reliability of Co interconnects. Moreover, in order to lower the resistance of the interconnect, it is necessary to develop an ultra-thin barrier. This is because even a barrier with good reliability characteristics will degrade chip performance if it takes up a lot of volume in the interconnect. The recommended thickness for a single diffusion barrier layer is currently reported to be less than 2.5 nm. As a result, it is essential to develop materials that comprehensively consider performance and reliability. In this study, we designed a Co alloy self-forming barrier (SFB) material that can make sure of low resistance and high reliability for Co interconnects, which is attracting attention as a next-generation interconnect system. The self-forming barrier methodology induces diffusion of an alloy dopant at the interface between the metal and the dielectric during the annealing process. And the diffused dopant reacts with the dielectric to form an ultra-thin diffusion barrier. Through this methodology, it is possible to improve reliability by preventing the movement of metal ions. First of all, material design rules were established to screen the appropriate alloy dopants and all CMOS-compatible metals were investigated. Dopant resistivity, intermetallic compound formation, solubility in Co, activity coefficient in Co, and oxidation tendency is considered as the criteria for the dopant to escape from the Co matrix and react at the Co/SiO2 interface. In addition, thermodynamic calculations were performed to predict which phases would be formed after the annealing process. Based on thermodynamic calculations, 5 dopant metals were selected, prioritized for self-forming behavior. And the self-forming material was finally selected through thin film and device analysis. We confirmed that Cr, Zn, and Mn out-diffused to the surface of the thin film structure using X-ray photoelectron spectroscopy (XPS) depth profile and investigated the chemical state of out-diffused dopants through the analysis of a binding energy. Cr shows the most ideal self-forming behavior with the SiO2 dielectric and reacted with oxygen to form a Cr2O3 barrier. In metal-insulator-semiconductor (MIS) structure, out-diffused Cr reacts with SiO2 at the interface and forms a self-formed single layer. It was confirmed that the thickness of the diffusion barrier layer is about 1.2 nm, which is an ultra-thin layer capable of minimizing the total effective resistance. Through voltage-ramping dielectric breakdown (VRDB) tests, Co-Cr alloy showed highest breakdown voltage (VBD) up to 200 % than pure Co. The effect of Cr doping concentration and heat treatment condition applicable to the interconnect process was confirmed. When Cr was doped less than 1 at%, the robust electrical reliability was exhibited. Also, it was found that a Cr2O3 interfacial layer was formed when annealing process was performed at 250 ยฐC or higher for 30 minutes or longer. In other words, Co-Cr alloy is well suited for the interconnect process because current interconnect process temperature is below 400 ยฐC. And when the film thickness was lowered from 150 nm to 20 nm, excellent VBD values were confirmed even at high Cr doping concentration (~7.5 at%). It seems that the amount of Cr present at the Co/SiO2 interface plays a very important role in improving the Cr oxide SFB quality. Physical modeling is necessary to understand the amount of Cr at the interface according to the interconnect volumes and the reliability of the Cr oxide self-forming barrier. TDDB lifetime test also performed and Co-Cr alloy interconnect shows a highly reliable diffusion barrier property of self-formed interfacial layer. The DFT analysis also confirmed that Cr2O3 is a very promising barrier material because it showed a higher energy barrier value than the TiN diffusion barrier currently being studied. A Co-based self-forming barrier was designed through thermodynamic calculations that take performance and reliability into account in interconnect material system. A Co interconnect system with an ultra-thin Cr2O3 diffusion barrier with excellent reliability is proposed. Through this design, it is expected that high-performance interconnects based on robust reliability in the advanced interconnect can be implemented in the near future.์ตœ๊ทผ ๋ฐ˜๋„์ฒด ์†Œ์ž ์Šค์ผ€์ผ๋ง์— ๋”ฐ๋ฅธ ๋ฐฐ์„  ์„ ํญ ๊ฐ์†Œ๋กœ M0, M1์˜์—ญ์—์„œ์˜ metal ๋น„์ €ํ•ญ์ด ๊ธ‰๊ฒฉํžˆ ์ฆ๊ฐ€ํ•˜์—ฌ ๋ฐฐ์„ ์—์„œ์˜ RC delay๊ฐ€ ๋‹ค์‹œ ํ•œ๋ฒˆ ํฌ๊ฒŒ ๋ฌธ์ œ๊ฐ€ ๋˜๊ณ  ์žˆ๋‹ค. ์ด๋ฅผ ํ•ด๊ฒฐํ•˜๊ธฐ ์œ„ํ•ด์„œ ์ฐจ์„ธ๋Œ€ ๋ฐฐ์„  ์‹œ์Šคํ…œ์—์„œ๋Š” ๋‚ฎ์€ ๋น„์ €ํ•ญ๊ณผ electron mean free path (EMFP)์„ ๊ฐ€์ง€๋Š” ๋ฌผ์งˆ ์—ฐ๊ตฌ๊ฐ€ ์ง„ํ–‰๋˜์—ˆ๋‹ค. ๋Œ€ํ‘œ์ ์œผ๋กœ Co, Ru, Mo์™€ ๊ฐ™์€ ๊ธˆ์†๋“ค์ด ์ฐจ์„ธ๋Œ€ ๋ฐฐ์„  ์žฌ๋ฃŒ ํ›„๋ณด๋กœ ์–ธ๊ธ‰๋˜๊ณ  ์žˆ์œผ๋ฉฐ ๋‚ฎ์€ ฯ0 ร— ฮป ๊ฐ’์„ ๊ฐ–๊ธฐ ๋•Œ๋ฌธ์— interface (surface) scattering๊ณผ grain boundary scattering ์˜ํ–ฅ์„ ์ตœ์†Œํ™”ํ•  ์ˆ˜ ์žˆ์„ ๊ฒƒ์œผ๋กœ ๋ณด๊ณ  ์žˆ๋‹ค. ํ•˜์ง€๋งŒ ๊ฐ€ํ˜นํ•œ electrical field์™€ ๋†’์€ Joule heating์ด ๋ฐœ์ƒํ•˜๋Š” ๋™์ž‘ ํ™˜๊ฒฝ์œผ๋กœ ์ธํ•ด performance๋ฟ๋งŒ ์•„๋‹ˆ๋ผ ์†Œ์ž ์‹ ๋ขฐ์„ฑ์ด ๋” ์—ด์•…ํ•œ ์ƒํ™ฉ์— ๋†“์—ฌ์žˆ๋‹ค. ์˜ˆ๋ฅผ ๋“ค์–ด ์ฐจ์„ธ๋Œ€ ๊ธˆ์†์— ๋Œ€ํ•œ time dependent dielectric breakdown (TDDB) ์‹ ๋ขฐ์„ฑ ๋ฌธ์ œ๊ฐ€ ๋ณด๊ณ ๋˜๊ณ  ์žˆ๊ธฐ ๋•Œ๋ฌธ์— ์ด๋ฅผ ๋ณด์•ˆํ•  ํ™•์‚ฐ๋ฐฉ์ง€๋ง‰ ๋ฌผ์งˆ ๋ฐ ๊ณต์ •์—ฐ๊ตฌ๊ฐ€ ํ•„์š”ํ•˜๋‹ค. ํŠนํžˆ ๋†’์€ ์ „๊ธฐ์žฅ์—์„œ Co ion์ด ์œ ์ „์ฒด๋กœ ์นจํˆฌํ•˜์—ฌ extrinsic dielectric breakdown ์‹ ๋ขฐ์„ฑ ๋ฌธ์ œ๊ฐ€ ์ตœ๊ทผ ๋ณด๊ณ ๋˜๊ณ  ์žˆ๋‹ค. ๋”ฐ๋ผ์„œ ๊ธˆ์† ์ด์˜จ์ด ์œ ์ „์ฒด ๋‚ด๋ถ€๋กœ ์นจํˆฌํ•˜๋Š” ๊ฒƒ์„ ๋ฐฉ์ง€ํ•˜์—ฌ, Co ๋ฐฐ์„ ์˜ ์‹ ๋ขฐ์„ฑ์„ ํ–ฅ์ƒ์‹œํ‚ฌ ์ˆ˜ ๊ฒฌ๊ณ ํ•œ ํ™•์‚ฐ๋ฐฉ์ง€๋ง‰ ๊ฐœ๋ฐœ ๋ฐ ์ƒˆ๋กœ์šด ๋ฐฐ์„  ์‹œ์Šคํ…œ ์„ค๊ณ„๊ฐ€ ํ•„์š”ํ•œ ์‹œ์ ์ด๋‹ค. ๋˜ํ•œ, ๋ฐฐ์„  ์ €ํ•ญ์„ ๋‚ฎ์ถ”๊ธฐ ์œ„ํ•ด์„œ๋Š” ๋งค์šฐ ์–‡์€ ํ™•์‚ฐ๋ฐฉ์ง€๋ง‰ ๊ฐœ๋ฐœ์ด ํ•„์š”ํ•˜๋‹ค. ์‹ ๋ขฐ์„ฑ์ด ์ข‹์€ ํ™•์‚ฐ๋ฐฉ์ง€๋ง‰์ด๋ผ๋„ ๋ฐฐ์„ ์—์„œ ๋งŽ์€ ์˜์—ญ์„ ์ฐจ์ง€ํ•  ๊ฒฝ์šฐ ์ „์ฒด ์„ฑ๋Šฅ์ด ์ €ํ•˜๋˜๊ธฐ ๋•Œ๋ฌธ์ด๋‹ค. Cu ํ™•์‚ฐ๋ฐฉ์ง€๋ง‰์œผ๋กœ ์‚ฌ์šฉ๋˜๊ณ  ์žˆ๋Š” TaN ์ธต์€ 2.5 nm ๋ณด๋‹ค ์–‡์„ ๊ฒฝ์šฐ ์‹ ๋ขฐ์„ฑ์ด ๊ธ‰๊ฒฉํžˆ ๋‚˜๋น ์ง€๋ฏ€๋กœ 2.5 nm๋ณด๋‹ค ์–‡์€ ๋‘๊ป˜์˜ ๊ฒฌ๊ณ ํ•œ ํ™•์‚ฐ๋ฐฉ์ง€๋ง‰ ๊ฐœ๋ฐœ์ด ํ•„์š”ํ•˜๋‹ค. ๋ณธ ์—ฐ๊ตฌ๋Š” ์ฐจ์„ธ๋Œ€ ๋ฐ˜๋„์ฒด ๋ฐฐ์„  ๋ฌผ์งˆ๋กœ ์ฃผ๋ชฉ๋ฐ›๊ณ  ์žˆ๋Š” Co ๊ธˆ์†์— ๋Œ€ํ•˜์—ฌ ์ €์ €ํ•ญยท๊ณ ์‹ ๋ขฐ์„ฑ์„ ํ™•๋ณดํ•  ์ˆ˜ ์žˆ๋Š” Co alloy ์ž๊ฐ€ํ˜•์„ฑ ํ™•์‚ฐ๋ฐฉ์ง€๋ง‰ (Co alloy self-forming barrier, SFB) ์†Œ์žฌ ๋””์ž์ธํ•˜์˜€๋‹ค. ์ž๊ฐ€ํ˜•์„ฑ ํ™•์‚ฐ๋ฐฉ์ง€๋ง‰ ๋ฐฉ๋ฒ•๋ก ์€ ์—ด์ฒ˜๋ฆฌ ๊ณผ์ •์—์„œ ๊ธˆ์†๊ณผ ์œ ์ „์ฒด ๊ณ„๋ฉด์—์„œ ๋„ํŽ€ํŠธ๊ฐ€ ํ™•์‚ฐํ•˜๊ฒŒ ๋œ๋‹ค. ๊ทธ๋ฆฌ๊ณ  ํ™•์‚ฐ๋˜๋‹ˆ ๋„ํŽ€ํŠธ๋Š” ์–‡์€ ํ™•์‚ฐ๋ฐฉ์ง€๋ง‰์„ ํ˜•์„ฑํ•˜๋Š” ๋ฐฉ๋ฒ•๋ก ์ด๋‹ค. ์ด ๋ฐฉ๋ฒ•๋ก ์„ ํ†ตํ•ด ๊ธˆ์† ์ด์˜จ์˜ ์ด๋™์„ ๋ฐฉ์ง€ํ•˜์—ฌ Co ๋ฐฐ์„  ์‹ ๋ขฐ์„ฑ์„ ํ–ฅ์ƒ์‹œํ‚ฌ ์ˆ˜ ์žˆ์„ ๊ฒƒ์œผ๋กœ ์˜ˆ์ƒํ•˜์˜€๋‹ค. ์šฐ์„ , Co ํ•ฉ๊ธˆ์ƒ์—์„œ ์ ์ ˆํ•œ ๋„ํŽ€ํŠธ๋ฅผ ์ฐพ๊ธฐ ์œ„ํ•ด์„œ CMOS ๊ณต์ •์— ์ ์šฉ ๊ฐ€๋Šฅํ•œ ๊ธˆ์†๋“ค์„ ์„ ๋ณ„ํ•˜์˜€๋‹ค. ๋„ํŽ€ํŠธ ์ €ํ•ญ, ๊ธˆ์†๊ฐ„ ํ™”ํ•ฉ๋ฌผ ํ˜•์„ฑ ์—ฌ๋ถ€, Co๋‚ด ๊ณ ์šฉ๋„, Co alloy์—์„œ์˜ ํ™œ์„ฑ๊ณ„์ˆ˜, ์‚ฐํ™”๋„, Co/SiO2 ๊ณ„๋ฉด์—์„œ์˜ ์•ˆ์ •์ƒ์„ ์—ด์—ญํ•™์  ๊ณ„์‚ฐ์„ ํ†ตํ•ด์„œ ๋ฌผ์งˆ ์„ ์ • ๊ธฐ์ค€์œผ๋กœ ์„ธ์› ๋‹ค. ์—ด์—ญํ•™์  ๊ณ„์‚ฐ์„ ๊ธฐ๋ฐ˜์œผ๋กœ 9๊ฐœ์˜ ๋„ํŽ€ํŠธ ๊ธˆ์†์ด ์„ ํƒ๋˜์—ˆ์œผ๋ฉฐ, Co ํ•ฉ๊ธˆ ์ž๊ฐ€ํ˜•์„ฑ ํ™•์‚ฐ๋ฐฉ์ง€๋ง‰ ๊ธฐ์ค€์— ๋”ฐ๋ผ์„œ ์šฐ์„  ์ˆœ์œ„๋ฅผ ์ง€์ •ํ•˜์˜€๋‹ค. ๊ทธ๋ฆฌ๊ณ  ์ตœ์ข…์ ์œผ๋กœ ๋ฐ•๋ง‰๊ณผ ์†Œ์ž ์‹ ๋ขฐ์„ฑ ํ‰๊ฐ€๋ฅผ ํ†ตํ•ด์„œ ๊ฐ€์žฅ ์ ํ•ฉํ•œ ์ž๊ฐ€ํ˜•์„ฑ ํ™•์‚ฐ๋ฐฉ์ง€๋ง‰ ๋ฌผ์งˆ์„ ์„ ์ •ํ•˜์˜€๋‹ค. X-ray photoelectron spectroscopy (XPS) ๋ถ„์„์„ ์ด์šฉํ•˜์—ฌ Cr, Zn, Mn์ด ๋ฐ•๋ง‰ ๊ตฌ์กฐ์˜ ํ‘œ๋ฉด์œผ๋กœ ์™ธ๋ถ€ ํ™•์‚ฐ ์—ฌ๋ถ€๋ฅผ ํ™•์ธํ•˜๊ณ  ๊ฒฐํ•ฉ ์—๋„ˆ์ง€ ๋ถ„์„์„ ํ†ตํ•ด ์™ธ๋ถ€๋กœ ํ™•์‚ฐ๋œ ๋„ํŽ€ํŠธ์˜ ํ™”ํ•™์  ์ƒํƒœ๋ฅผ ์กฐ์‚ฌํ•˜์˜€๋‹ค. ๋ถ„์„ ๊ฒฐ๊ณผ Cr, Zn, Mn์ด ์œ ์ „์ฒด ๊ณ„๋ฉด์œผ๋กœ ํ™•์‚ฐ๋˜์–ด ์‚ฐ์†Œ์™€ ๋ฐ˜์‘ํ•˜์—ฌoxide/silicate ํ™•์‚ฐ ๋ฐฉ์ง€๋ง‰ (e.g. Cr2O3, Zn2SiO4, MnSiO3)์„ ํ˜•์„ฑํ•œ ๊ฒƒ์„ ํ™•์ธํ•˜์˜€๋‹ค. ๊ทธ ์ค‘ Cr์€ SiO2 ์œ ์ „์ฒด์™€ ํ•จ๊ป˜ ๊ฐ€์žฅ ์ด์ƒ์ ์ธ ์ž๊ธฐ ํ˜•์„ฑ ๊ฑฐ๋™์„ ๋‚˜ํƒ€๋‚ด๋ฉฐ ์‚ฐ์†Œ์™€ ๋ฐ˜์‘ํ•˜์—ฌ Cr2O3 ์ธต์„ ํ˜•์„ฑํ•˜๋Š” ๊ฒƒ์„ ํ™•์ธํ•˜์˜€๋‹ค. MIS (Metal-Insulator-Semiconductor) ๊ตฌ์กฐ์—์„œ๋„ ์™ธ๋ถ€๋กœ ํ™•์‚ฐ๋œ Cr์€ ๊ณ„๋ฉด์—์„œ SiO2์™€ ๋ฐ˜์‘ํ•˜์—ฌ Cr2O3 ์ž๊ฐ€ํ˜•์„ฑ ํ™•์‚ฐ๋ฐฉ์ง€๋ง‰์ด ํ˜•์„ฑ๋˜์—ˆ๋‹ค. ํ™•์‚ฐ๋ฐฉ์ง€์ธต์˜ ๋‘๊ป˜๋Š” ์•ฝ 1.2nm๋กœ ์ „์ฒด ์œ ํšจ์ €ํ•ญ์„ ์ตœ์†Œํ™”ํ•  ์ˆ˜ ์žˆ๋Š” ์ถฉ๋ถ„ํžˆ ์–‡์€ ๋‘๊ป˜๋ฅผ ํ™•๋ณดํ•˜์˜€๋‹ค. VRDB (Voltage-Ramping Dielectric Breakdown) ํ…Œ์ŠคํŠธ๋ฅผ ํ†ตํ•ด Co-Cr ํ•ฉ๊ธˆ์€ ์ˆœ์ˆ˜ Co๋ณด๋‹ค ์ตœ๋Œ€ 200% ๋†’์€ ํ•ญ๋ณต ์ „์•• (breakdown voltage)์„ ๋ณด์˜€๋‹ค. ๋ฐ˜๋„์ฒด ๋ฐฐ์„  ๊ณต์ •์— ์ ์šฉํ•  ์ˆ˜ ์žˆ๋Š” Cr ๋„ํ•‘ ๋†๋„์™€ ์—ด์ฒ˜๋ฆฌ ์กฐ๊ฑด์˜ ์˜ํ–ฅ์„ ํ™•์ธํ•˜์˜€๋‹ค. Cr์ด 1at% ๋ฏธ๋งŒ์œผ๋กœ ๋„ํ•‘๋˜์—ˆ์„ ๋•Œ ์šฐ์ˆ˜ํ•œ ์ „๊ธฐ์  ์‹ ๋ขฐ์„ฑ์„ ๋‚˜ํƒ€๋‚ด์—ˆ๋‹ค. ๋˜ํ•œ, 250โ„ƒ ์ด์ƒ์—์„œ 30๋ถ„ ์ด์ƒ ์—ด์ฒ˜๋ฆฌ๋ฅผ ํ•˜์˜€์„ ๋•Œ Cr2O3 ๊ณ„๋ฉด์ธต์ด ํ˜•์„ฑ๋จ์„ ์•Œ ์ˆ˜ ์žˆ์—ˆ๋‹ค. ์ฆ‰, ํ˜„์žฌ ๋ฐฐ์„  ๊ณต์ • ์˜จ๋„๊ฐ€ 400ยฐC ๋ฏธ๋งŒ์ด๊ธฐ ๋•Œ๋ฌธ์— Co-Cr ํ•ฉ๊ธˆ์ด ๋ฐฐ์„  ๊ณต์ •์— ์ ์šฉ ๊ฐ€๋Šฅํ•จ์„ ํ™•์ธํ•˜์˜€๋‹ค. TDDB ์ˆ˜๋ช… ํ…Œ์ŠคํŠธ๋„ ์ˆ˜ํ–‰๋˜์—ˆ์œผ๋ฉฐ Co-Cr ํ•ฉ๊ธˆ ๋ฐฐ์„ ์€ ์ž์ฒด ํ˜•์„ฑ๋œ ๊ณ„๋ฉด์ธต์˜ ๋งค์šฐ ์•ˆ์ •์ ์ธ ํ™•์‚ฐ ์žฅ๋ฒฝ ํŠน์„ฑ์„ ๋ณด์—ฌ์ฃผ์—ˆ๋‹ค. DFT ๋ถ„์„์€ Cr2O3์ž๊ฐ€ํ˜•์„ฑ ํ™•์‚ฐ๋ฐฉ์ง€๋ง‰์ด ํ˜„์žฌ ์—ฐ๊ตฌ๋˜๊ณ  ์žˆ๋Š” TiN ํ™•์‚ฐ ์žฅ๋ฒฝ๋ณด๋‹ค ๋” ๋†’์€ ์—๋„ˆ์ง€ ์žฅ๋ฒฝ ๊ฐ’์„ ๋ณด์—ฌ์ฃผ๊ธฐ ๋•Œ๋ฌธ์— ๋งค์šฐ ์œ ๋งํ•œ ํ™•์‚ฐ๋ฐฉ์ง€๋ง‰์ž„์„ ๋ณด์—ฌ์ฃผ์—ˆ๋‹ค. ๋ณธ ์—ฐ๊ตฌ๋Š” ๋ฐ˜๋„์ฑ„ ๋ฐฐ์„  ๋ฌผ์งˆ ์‹œ์Šคํ…œ์—์„œ ์„ฑ๋Šฅ๊ณผ ์‹ ๋ขฐ์„ฑ์„ ๊ณ ๋ คํ•œ ์—ด์—ญํ•™์  ๊ณ„์‚ฐ์„ ํ†ตํ•ด Co ๊ธฐ๋ฐ˜ ์ž๊ฐ€ํ˜•์„ฑ ํ™•์‚ฐ๋ฐฉ์ง€๋ง‰์„ ์„ค๊ณ„ํ•˜์˜€๋‹ค. ์‹คํ—˜ ๊ฒฐ๊ณผ ์‹ ๋ขฐ์„ฑ์ด ์šฐ์ˆ˜ํ•˜๊ณ  ์•„์ฃผ ์–‡์€ Cr2O3 ํ™•์‚ฐ๋ฐฉ์ง€๋ง‰์ด ์žˆ๋Š” Co-Cr ํ•ฉ๊ธˆ์ด ์ œ์•ˆํ•˜์˜€๋‹ค. ๋ฌผ์งˆ ์„ค๊ณ„์™€ ์ „๊ธฐ์  ์‹ ๋ขฐ์„ฑ ๊ฒ€์ฆ์„ Co/Cr2O3/SiO2 ๋ฌผ์งˆ ์‹œ์Šคํ…œ์„ ์ œ์•ˆํ•˜์˜€๊ณ  ์•ž์œผ๋กœ์˜ ๋‹ค๊ฐ€์˜ฌ ์ฐจ์„ธ๋Œ€ ๋ฐฐ์„ ์—์„œ ๊ตฌํ˜„๋  ์ˆ˜ ์žˆ์„ ๊ฒƒ์œผ๋กœ ๊ธฐ๋Œ€๋œ๋‹ค.Abstract i Table of Contents v List of Tables ix List of Figures xii Chapter 1. Introduction 1 1.1. Scaling down of VLSI systems 1 1.2. Driving force of interconnect system evolution 7 1.3. Driving force of beyond Cu interconnects 11 1.4. Objective of the thesis 18 1.5. Organization of the thesis 21 Chapter 2. Theoretical Background 22 2.1. Evolution of interconnect systems 22 2.1.1. Cu/barrier/low-k interconnect system 22 2.1.2. Process developments for interconnect reliability 27 2.1.3. 3rd generation of interconnect system 31 2.2 Thermodynamic tools for Co self-forming barrier 42 2.2.1 Binary phase diagram 42 2.2.2 Ellingham diagram 42 2.2.3 Activity coefficient 43 2.3. Reliability of Interconnects 45 2.3.1. Current conduction mechanisms in dielectrics 45 2.3.2. Reliability test vehicles 50 2.3.3. Dielectric breakdown assessment 52 2.3.4. Dielectric breakdown mechanisms 55 2.3.5. Reliability test: VRDB and TDDB 56 2.3.6. Lifetime models 57 Chapter 3. Experimental Procedures 60 3.1. Thin film deposition 60 3.1.1. Substrate preparation 60 3.1.2. Oxidation 61 3.1.3. Co alloy deposition using DC magnetron sputtering 61 3.1.4. Annealing process 65 3.2. Thin film characterization 67 3.2.1. Sheet resistance 67 3.2.2. X-ray photoelectron spectroscopy (XPS) 68 3.3. Metal-Insulator-Semiconductor (MIS) device fabrication 70 3.3.1. Patterning using lift-off process 70 3.3.2. TDDB packaging 72 3.4. Reliability analysis 74 3.4.1. Electrical reliability analysis 74 3.4.2. Transmission electron microscopy (TEM) analysis 75 3.5. Computation 76 3.5.1 FactsageTM calculation 76 3.5.2. Density Functional Theory (DFT) calculation 77 Chapter 4. Co Alloy Design for Advanced Interconnects 78 4.1. Material design of Co alloy self-forming barrier 78 4.1.1. Rule of thumb of Co-X alloy 78 4.1.2. Co alloy phase 80 4.1.3. Out-diffusion stage 81 4.1.4. Reaction step with SiO2 dielectric 89 4.1.5. Comparison criteria 94 4.2. Comparison of Co alloy candidates 97 4.2.1. Thin film resistivity evaluation 97 4.2.2. Self-forming behavior using XPS depth profile analysis 102 4.2.3. MIS device reliability test 110 4.3 Summary 115 Chapter 5. Co-Cr Alloy Interconnect with Robust Self-Forming Barrier 117 5.1. Compatibility of Co-Cr alloy SFB process 117 5.1.1. Effect of Cr doping concentration 117 5.1.2. Annealing process condition optimization 119 5.2. Reliability of Co-Cr interconnects 122 5.2.1. VRDB quality test with Co-Cr alloys 122 5.2.2. Lifetime evaluation using TDDB method 141 5.2.3. Barrier mechanism using DFT 142 5.3. Summary 145 Chapter 6. Conclusion 148 6.1. Summary of results 148 6.2. Research perspectives 150 References 151 Abstract (In Korean) 166 Curriculum Vitae 169๋ฐ•

    A survey of carbon nanotube interconnects for energy efficient integrated circuits

    Get PDF
    This article is a review of the state-of-art carbon nanotube interconnects for Silicon application with respect to the recent literature. Amongst all the research on carbon nanotube interconnects, those discussed here cover 1) challenges with current copper interconnects, 2) process & growth of carbon nanotube interconnects compatible with back-end-of-line integration, and 3) modeling and simulation for circuit-level benchmarking and performance prediction. The focus is on the evolution of carbon nanotube interconnects from the process, theoretical modeling, and experimental characterization to on-chip interconnect applications. We provide an overview of the current advancements on carbon nanotube interconnects and also regarding the prospects for designing energy efficient integrated circuits. Each selected category is presented in an accessible manner aiming to serve as a survey and informative cornerstone on carbon nanotube interconnects relevant to students and scientists belonging to a range of fields from physics, processing to circuit design

    Coatings for ALD Reactors to Prevent Metal Contamination on Semiconductor Products

    Get PDF
    Atomic layer deposition (ALD) is a promising processing method for the next generation semiconductor devices. Major advantages of ALD include conformality, uniformity over large areas, precise thickness control, repeatability and high quality of films produced. ALD thin film deposition is done inside an ALD reactor. Typical construction materials of ALD reactors include metal alloys such as stainless steel, aluminum and titanium. These materials contain multiple metallic elements that can be detrimental to the performance, reliability and yield of semiconductor devices. In order to process semiconductor devices with ALD, metal impurity levels originating from the ALD reactor must be controlled. Allowed levels of metal impurities in semiconductor processing are stringent and showing a tightening trend. This has led into the development of new methods for contamination control together with the adoption of more sensitive and robust detection methods for metallic impurities, such as inductively coupled plasma mass spectrometry (ICP-MS). This master thesis focuses on the metallic impurities originating from an ALD reactor and their prevention with ALD coatings. Three typical construction materials, aluminum, titanium and stainless steel were examined. The studied coatings were ALD deposited aluminum oxide (Al2O3), hafnium oxide (HfO2) and their nanolaminate (Al2O3/HfO2). The ability of the coatings to prevent metal impurity transfer from the metals to silicon substrates through the gas phase was studied by exposing the coated metals to two ALD precursors, trimethyl aluminum (TMA) and tris(dimethylamino) cyclopentadienyl hafnium (CpHf(NMe2)3). Metal impurity concentrations on silicon were measured with ICP-MS. Since academic literature concerning control of metal contamination from ALD reactors does not directly exist, the literature part of this thesis was based on relevant related topics. The selected topics included the development of semiconductor industry, role of ALD in this development and new ALD materials and chemistries required. Additionally, protective ALD films and the effects of metal impurities in semiconductor products were reviewed. The overall conclusion of this study was that the ALD coatings provide a worthy solution for metal contamination control. Some differences between the passivation efficiencies of different metal โ€“ coating systems were found

    Advances in electronic packaging technologies by ultra-small microvias, super-fine interconnections and low loss polymer dielectrics

    Get PDF
    The fundamental motivation for this dissertation is to address the widening interconnect gap between integrated circuit (IC) demands and package substrates specifically for high frequency digital-RF systems applications. Moore's law for CMOS ICs predicts that transistor density on ICs will double approximately every 18 months. The current state-of-the-art in IC package substrates is at 20ยตm lines/spaces and 50-60ยตm microvia diameter using epoxy dielectrics with loss tangent above 0.01. The research targets are to overcome the barriers of current technologies and demonstrate a set of advanced materials and process technologies capable of 5-10ยตm lines and spaces, and 10-30ยตm diameter microvias in a multilayer 3-D wiring substrate using 10-25ยตm thin film dielectrics with loss tangent in the <0.005. The research elements are organized as follows with a clear focus on understanding and characterization of fundamental materials structure-processing-property relationships and interfaces to achieve the next generation targets. (a) Low CTE Core Substrate, (b) Low Loss Dielectrics with 25ยตm and smaller microvias, (c) Sub-10ยตm Width Cu Conductors, and (d) Integration of the various dielectric and conductor processes.Ph.D.Committee Chair: Tummala, Rao; Committee Member: Iyer, Mahadevan; Committee Member: Saxena, Ashok; Committee Member: Swaminathan, Madhavan; Committee Member: Wong, Chingpin

    Copper to copper bonding by nano interfaces for fine pitch interconnections and thermal applications

    Get PDF
    Ever growing demands for portability and functionality have always governed the electronic technology innovations. IC downscaling with Moore s law at IC level and system miniaturization with System-On-Package (SOP) paradigm at system level, have resulted and will continue to result in ultraminiaturized systems with unprecedented functionality at reduced cost. However, system miniaturization poses several electrical and thermal challenges that demand innovative solutions including advanced materials, bonding and assembly techniques. Heterogeneous material and device integration for thermal structures and IC assembly are limited by the bonding technology and the electrical and thermal impedance of the bonding interfaces. Solder - based bonding technology that is prevalent today is a major limitation to future systems. The trend towards miniaturized systems is expected to drive downscaling of IC I/O pad pitches from 40ยตm to 1- 5ยตm in future. Solder technology imposes several pitch, processability and cost restrictions at such fine pitches. Furthermore, according to International Technology Roadmap for Semiconductors (ITRS-2006), the supply current in high performance microprocessors is expected to increase to 220 A by 2012. At such supply current, the current density will exceed the maximum allowable current density of solders. The intrinsic delay and electromigration in solders are other daunting issues that become critical at nanometer sized technology nodes. In addition, formation of intermetallics is also a bottleneck that poses significant mechanical issues. Similarly, thermal power dissipation is growing to unprecedented high with a projected power of 198 W by 2008 (ITRS 2006). Present thermal interfaces are not adequate for such high heat dissipation. Recently, copper based thin film bonding has become a promising approach to address the abovementioned challenges. However, copper-copper direct bonding without using solders has not been studied thoroughly. Typically, bonding is carried out at 400oC for 30 min followed by annealing for 30 min. High thermal budget in such process makes it less attractive for integrated systems because of the associated process incompatibilities. Hence, there is a need to develop a novel low temperature copper to copper bonding process. In the present study, nanomaterials - based copper-to-copper bonding is explored and developed as an alternative to solder-based bonding. To demonstrate fine pitch bonding, the patterning of these nanoparticles is crucial. Therefore, two novel self-patterning techniques based on: 1.) Selective wetting and 2.) Selective nanoparticle deposition, are developed to address this challenge. Nanoparticle active layer facilitates diffusion and, thus, a reliable bond can be achieved using less thermal budget. Quantitative characterization of the bonding revealed good metallurgical bonding with very high bond strength. This has been confirmed by several morphological and structural characterizations. A 30-micron pitch IC assembly test vehicle is used to demonstrate fine pitch patternability and bonding. In conclusion, novel nanoparticle synthesis and patterning techniques were developed and demonstrated for low-impedance and low-cost electrical and thermal interfaces.M.S.Committee Chair: Rao R. Tummala; Committee Member: C. P. Wong; Committee Member: P. M. Ra
    • โ€ฆ
    corecore