100 research outputs found

    Design and Simulation of Short Channel Si:HfO2 Ferroelectric Field Effect Transistor (FeFET)

    Get PDF
    Non-volatile memories using ferroelectric capacitors, known as Ferroelectric Random Access Memory (FRAM) have been studied for many years, but they suffer from loss of data during read out process. Ferroelectric Field Effect Transistors (FeFETs), which are based on ferroelectric gate oxide, have been of recent interest for non-volatile memory applications. The FeFETs utilize the polarization of the ferroelectric layer incorporated into the transistor gate stack to control the channel conductivity. Therefore, in FeFET devices, the read out process is non-destructive because it is only processed by measuring the resistivity in the channel region. The drain current-gate voltage (ID-VG) characteristics of FeFETs exhibit a voltage shift due to polarization hysteresis known as the memory window , an important figure of merit of a FeFET that provides a window for the read voltage. A dielectric layer between semiconductor layer and the ferroelectric is required to reduce charge injection effect, and to compensate lattice mismatch between the ferroelectric and the semiconductor. In addition, a non-ferroelectric interfacial layer may form between the semiconductor and the ferroelectric layer. However, this dielectric layer causes a voltage drop since the system becomes equivalent to two serial capacitors. It also causes an electric field that opposes the polarization. Using a high permittivity material such as HfO2 reduces the voltage drop and the effect of depolarization. To date, the majority of the work involving FeFETs has been based on conventional ferroelectric materials such as Lead Zirconate Titanate (PZT) and Strontium Bismuth Tantalate (SBT). These materials are not compatible with standard IC processing and furthermore scaling thicknesses in PZT and SBT result in loss of polarization characteristics. Recently, ferroelectricity has been reported in doped hafnium oxide thin films with dopants such as Si, Al, and Gd. Particularly, silicon doped hafnium oxide (Si:HfO2) has shown promise. In this material, the remnant polarization considerably increases by decreasing the layer thickness. The lower permittivity of Si:HfO2 compared to that of PZT and SBT, allows to employ thinner films that reduce fringing effects. This study focuses on employing Si:HfO2 in short channel FeFETs. The study has two major objectives. First, to show that short channel FeFETs can be accomplished with large memory window. Second, to demonstrate the role of bulk layer thickness and permittivity on FeFET performance. N-channel metal oxide semiconductor FET (N-MOSFET) with printed channel length of 26 nm has been designed with Si:HfO2 as the ferroelectric layer, and TiN as the gate electrode. The effects of buffer layer thickness and permittivity and ferroelectric layer thickness on the memory window have been explored using Silvaco Atlas software that employs ferroelectric FET device physics developed by Miller et al. Polarization characteristics reported for Si:HfO2 have been incorporated in this model. The simulations performed in this study have shown that using Si:HfO2 as a ferroelectric material makes it possible to accomplish short channel FeFETs with good performance even without using buffer layers. This means it is possible to minimize depolarization effects. Using Si:HfO2 as a ferroelectric layer makes it possible to accomplish highly scaled and ultra-low-power FeFETs

    Effect of cycling on ultra-thin HfZrO4, ferroelectric synaptic weights

    Get PDF
    Two-terminal ferroelectric synaptic weights are fabricated on silicon. The active layers consist of a 2 nm thick WOx film and a 2.7 nm thick HfZrO4 (HZO) film grown by atomic layer deposition. The ultra-thin HZO layer is crystallized in the ferroelectric phase using a millisecond flash at a temperature of only 500 °C, evidenced by x-rays diffraction and electron microscopy. The current density is increased by four orders of magnitude compared to weights based on a 5 nm thick HZO film. Potentiation and depression (analog resistive switching) is demonstrated using either pulses of constant duration (as short as 20 nanoseconds) and increasing amplitude, or pulses of constant amplitude (+/−1 V) and increasing duration. The cycle-to-cycle variation is below 1%. Temperature dependent electrical characterisation is performed on a series of device cycled up to 108 times: they reveal that HZO possess semiconducting properties. The fatigue leads to a decrease, in the high resistive state only, of the conductivity and of the activation energy.ISSN:2634-438

    Integration of Ferroelectric HfO2 onto a III-V Nanowire Platform

    Get PDF
    The discovery of ferroelectricity in CMOS-compatible oxides, such as doped hafnium oxide, has opened new possibilities for electronics by reviving the use of ferroelectric implementations on modern technology platforms. This thesis presents the ground-up integration of ferroelectric HfO2 on a thermally sensitive III-V nanowire platform leading to the successful implementation of ferroelectric transistors (FeFETs), tunnel junctions (FTJs), and varactors for mm-wave applications. As ferroelectric HfO2 on III-V semiconductors is a nascent technology, a special emphasis is put on the fundamental integration issues and the various engineering challenges facing the technology.The fabrication of metal-oxide-semiconductor (MOS) capacitors is treated as well as the measurement methods developed to investigate the interfacial quality to the narrow bandgap III-V materials using both electrical and operando synchrotron light source techniques. After optimizing both the films and the top electrode, the gate stack is integrated onto vertical InAs nanowires on Si in order to successfully implement FeFETs. Their performance and reliability can be explained from the deeper physical understanding obtained from the capacitor structures.By introducing an InAs/(In)GaAsSb/GaSb heterostructure in the nanowire, a ferroelectric tunnel field effect transistor (ferro-TFET) is fabricated. Based on the ultra-short effective channel created by the band-to-band tunneling process, the localized potential variations induced by single ultra-scaled ferroelectric domains and individual defects are sensed and investigated. By intentionally introducing a gate-source overlap in the ferro-TFET, a non-volatile reconfigurable single-transistor solution for modulating an input signal with diverse modes including signal transmission, phase shift, frequency doubling, and mixing is implemented.Finally, by fabricating scaled ferroelectric MOS capacitors in the front-end with a dedicated and adopted RF and mm-wave backend-of-line (BEOL) implementation, the ferroelectric behavior is captured at RF and mm-wave frequencies

    Optimization of performance and reliability of HZO-based capacitors for ferroelectric memory applications

    Get PDF
    In an era in which the amount of produced and stored data continues to exponentially grow, standard memory concepts start showing size, power consumption and costs limitation which make the search for alternative device concepts essential. Within a context where new technologies such as DRAM, magnetic RAM, resistive RAM, phase change memories and eFlash are explored and optimized, ferroelectric memory devices like FeRAM seem to showcase a whole range of properties which could satisfy market needs, offering the possibility of creating a non-volatile RAM. In fact, hafnia and zirconia-based ferroelectric materials opened up a new scenario in the memory technology scene, overcoming the dimension scaling limitations and the integration difficulties presented by their predecessors perovskite ferroelectrics. In particular, HfₓZr₁₋ₓO₂ stands out because of high processing flexibility and ease of integration in the standard semiconductor industry process flows for CMOS fabrication. Nonetheless, further understanding is necessary in order tocorrelate device performance and reliability to the establishment of ferroelectricity itself. The aim of this work is to investigate how the composition of the ferroelectric oxide, together with the one of the electrode materials influence the behavior of a ferroelectric RAM. With this goal, different process parameters and reliability properties are considered and an analysis of the polarization reversal is performed. Starting from undoped hafnia and zirconia and subsequently examining their intermixed system, it is shown how surface/volume energy contributions, mechanical stress and oxygen-related defects all concur in the formation of the ferroelectric phase. Based on the process optimization of an HfₓZr₁₋ₓO₂-based capacitor performed within these pages, a 64 kbit 1T1C FeRAM array is demonstrated by Sony Semiconductor Solutions Corporation which shows write voltage and latency as low as 2.0 V and 16 ns, respectively. Outstanding retention and endurance performances are also predicted, which make the addressed device an extremely strong competitor in the semiconductor scene

    Pyroelectric and electrocaloric effects in hafnium oxide thin films

    Get PDF
    The material class of hafnium oxide-based ferroelectrics adds an unexpected and huge momentum to the long-known phenomenon of pyroelectricity. In this thesis, a comprehensive study of pyroelectric and electrocaloric properties of this novel ferroelectric material class is conducted. hafnium oxide is a lead-free, non-toxic transition metal oxide, and abundant in the manufacturing of semiconductor devices. The compatibility to existing fabrication processes spawns the possibility of on-chip infrared sensing, energy harvesting, and refrigeration solutions, for which this dissertation aims to lay a foundation. A screening of the material system with respect to several dopants reveals an enhanced pyroelectric response at the morphotropic phase boundary between the polar orthorhombic and the non-polar tetragonal phase. Further, a strong pyroelectric effect is observed when applying an electric field to antiferroelectric-like films, which is attributed to a field-induced transition between the tetragonal and orthorhombic phases. Primary and secondary pyroelectric effects are separated using high-frequency temperature cycles, where the effect of frequency-dependent substrate clamping is exploited. The piezoelectric response is determined by comparing primary and secondary pyroelectric coefficients, which reproduces the expected wake-up behavior in hafnium oxide films. Further, the potential of hafnium oxide for thermal-electric energy conversion is explored. The electrocaloric temperature change of only 20 nm thick films is observed directly by using a specialized test structure. By comparing the magnitude of the effect to the pyroelectric response, it is concluded that defect charges have an important impact on the electrocaloric effect in hafnium oxide-based ferroelectrics. Energy harvesting with a conformal hafnium oxide film on a porous, nano-patterned substrate is performed, which enhances the power output. Further, the integration of a pyroelectric energy harvesting device in a microchip for waste heat recovery and more energy-efficient electronic devices is demonstrated. High dielectric breakdown fields of up to 4 MV/cm in combination with a sizable pyroelectric response and a comparably low dielectric permittivity illustrate the prospect of hafnium oxide-based devices for future energy conversion applications
    • 

    corecore