31 research outputs found

    On the nanocommunications at THz band in graphene-enabled wireless network-on-chip

    Get PDF
    One of the main challenges towards the growing computation-intensive applications with scalable bandwidth requirement is the deployment of a dense number of on-chip cores within a chip package. To this end, this paper investigates the Wireless Network-on-Chip (WiNoC), which is enabled by graphene- based nanoantennas (GNAs) in Terahertz frequency band. We first develop a channel model between the GNAs taking into account the practical issues of the propagation medium, such as transmission frequency, operating temperature, ambient pressure and distance between the GNAs. In the Terahertz band, not only dielectric propagation loss (DPL) but also molecular absorption attenuation (MAA) caused by various molecules and their isotopologues within the chip package constitute the loss of signal transmission. We further propose an optimal power allocation to achieve the channel capacity subject to transmit power constraint. By analysing the effects of the MAA on the path loss and channel capacity, the proposed channel model shows that the MAA significantly degrades the performance at certain frequency ranges, e.g. 1.21 THz, 1.28 THz and 1.45 THz, of up to 31.8% compared to the conventional channel model, even when the GNAs are very closely located of only 0.01 mm. More specifically, at transmission frequency of 1 THz, the channel capacity of the proposed model is shown to be much lower than that of the conventional model over the whole range of temperature and ambient pressure of up to 26.8% and 25%, respectively. Finally, simulation results are provided to verify the analytical findings

    On the nanocommunications at THz band in graphene-enabled Wireless Network-on-Chip

    Get PDF
    One of the main challenges towards the growing computation-intensive applications with scalable bandwidth requirement is the deployment of a dense number of on-chip cores within a chip package. To this end, this paper investigates the Wireless Network-on-Chip (WNoC), which is enabled by graphene-based nanoantennas (GNAs) in Terahertz frequency band. We first develop a channel model between the GNAs taking into account the practical issues of the propagation medium, such as transmission frequency, operating temperature, ambient pressure and distance between the GNAs. In the Terahertz band, not only dielectric propagation loss (DPL) but also molecular absorption attenuation (MAA) caused by various molecules and their isotopologues within the chip package constitute the loss of signal transmission. We further propose an optimal power allocation to achieve the channel capacity subject to transmit power constraint. By analysing the effects of the MAA on the path loss and channel capacity, the proposed channel model shows that the MAA significantly degrades the performance at certain frequency ranges, e.g. 1.21 THz, 1.28 THz and 1.45 THz, of up to 31.8% compared to the conventional channel model, even when the GNAs are very closely located of only 0.01 mm. More specifically, at transmission frequency of 1 THz, the channel capacity of the proposed model is shown to be much lower than that of the conventional model over the whole range of temperature and ambient pressure of up to 26.8% and 25%, respectively. Finally, simulation results are provided to verify the analytical findings

    Graphene and Related Materials for the Internet of Bio-Nano Things

    Full text link
    Internet of Bio-Nano Things (IoBNT) is a transformative communication framework, characterized by heterogeneous networks comprising both biological entities and artificial micro/nano-scale devices, so-called Bio-Nano Things (BNTs), interfaced with conventional communication networks for enabling innovative biomedical and environmental applications. Realizing the potential of IoBNT requires the development of new and unconventional communication technologies, such as molecular communications, as well as the corresponding transceivers, bio-cyber interfacing technologies connecting the biochemical domain of IoBNT to the electromagnetic domain of conventional networks, and miniaturized energy harvesting and storage components for the continuous power supply to BNTs. Graphene and related materials (GRMs) exhibit exceptional electrical, optical, biochemical, and mechanical properties, rendering them ideal candidates for addressing the challenges posed by IoBNT. This perspective article highlights recent advancements in GRM-based device technologies that are promising for implementing the core components of IoBNT. By identifying the unique opportunities afforded by GRMs and aligning them with the practical challenges associated with IoBNT, particularly in the materials domain, our aim is to accelerate the transition of envisaged IoBNT applications from theoretical concepts to practical implementations, while also uncovering new application areas for GRMs

    Scalability of the channel capacity in graphene-enabled wireless communications to the nanoscale

    Get PDF
    Graphene is a promising material which has been proposed to build graphene plasmonic miniaturized antennas, or graphennas, which show excellent conditions for the propagation of Surface Plasmon Polariton (SPP) waves in the terahertz band. Due to their small size of just a few micrometers, graphennas allow the implementation of wireless communications among nanosystems, leading to a novel paradigm known as Graphene-enabled Wireless Communications (GWC). In this paper, an analytical framework is developed to evaluate how the channel capacity of a GWC system scales as its dimensions shrink. In particular, we study how the unique propagation of SPP waves in graphennas will impact the channel capacity. Next, we further compare these results with respect to the case when metallic antennas are used, in which these plasmonic effects do not appear. In addition, asymptotic expressions for the channel capacity are derived in the limit when the system dimensions tend to zero. In this scenario, necessary conditions to ensure the feasibility of GWC networks are found. Finally, using these conditions, new guidelines are derived to explore the scalability of various parameters, such as transmission range and transmitted power. These results may be helpful for designers of future GWC systems and networks.Peer ReviewedPostprint (author’s final draft

    Graphene and Communications Technology

    Get PDF
    The advances in communications technology depend on the development of tiny devices being capable of transmitting and receiving data at the highest possible data rates. The digital signal processing at gigabit-per-second rates is soon to be upgraded to the terabit-per-second range. Nanotechnology provides a variety of alternatives for the design of ultrafast nanoscale components. Graphene is one particular material which is considered for possible implementation due to its superior physical properties. This contribution is based on a selection of some foundation studies which explore the potential of graphene for emerging applications with an emphasis on communications technology

    FDMA Enabled Phase-based Wireless Network-on-Chip using Graphene-based THz-band Antennas

    Get PDF
    The future growth in System-on-chip design is moving in the direction of multicore systems. Design of efficient interconnects between cores are crucial for improving the performance of a multicore processor. Such trends are seen due to the benefits the multicore systems provide in terms of power reduction and scalability. Network-on-chips (NoC) are viewed as an emerging solution in the design of interconnects in multicore systems. However, Traditional Network-on-chip architectures are no longer able to satisfy the performance requirements due to long distance communication over multi-hop wireline paths. Multi-hop communication leads to higher energy consumption, increase in latency and reduction in bandwidth. Research in recent years has explored emerging technologies such as 3D integration, photonic and radio frequency based Network-on-chips. The use of wireless interconnects using mm-wave antennas are able to alleviate the performance issues in a wireline interconnect system. However, to satisfy the increasing demand for higher bandwidth and lower energy consumption, Wireless Network-on-Chip enabled with high speed direct links operating in THz band between distant cores is desired. Recent research has brought to light highly efficient graphene-based antennas operating in THz band. These antennas can provide high data rate and are found to consume less power with low area overheads. In this thesis, an innovative approach using novel devices based on graphene structures is proposed to provide a high-performance on-chip interconnection. This novel approach combines the regular NoC structure with the proposed wireless infrastructure to exploit the performance benefits. An architecture with wireless interfaces on every core is explored in this work. Simultaneous multiple communications in a network can be achieved by adopting Frequency Division Multiple access (FDMA). However, in a system where all cores are equipped with a wireless interface, FDMA requires more number of frequency bands. This becomes difficult to achieve as the system scales and the number of cores increase. Therefore, a FDMA protocol along with a 4-phased repetitive multi-band architecture is envisioned in this work. The phase-based protocol allows multiple wireless links to be active at a time, the phase-based protocol along with the FDMA protocol provides a reliable data transfer between cores with lesser number of frequency bands. In this thesis, an architecture with a combination of FDMA and phase-based protocol using point-to-point graphene-based wireless links is proposed. The proposed architecture is also extended for a multichip system. With cycle accurate system-level simulations, it is shown that the proposed architecture provides huge gains in performance and energy-efficiency in data transfer both in NoC based multicore and multichip systems

    A Scalable & Energy Efficient Graphene-Based Interconnection Framework for Intra and Inter-Chip Wireless Communication in Terahertz Band

    Get PDF
    Network-on-Chips (NoCs) have emerged as a communication infrastructure for the multi-core System-on-Chips (SoCs). Despite its advantages, due to the multi-hop communication over the metal interconnects, traditional Mesh based NoC architectures are not scalable in terms of performance and energy consumption. Folded architectures such as Torus and Folded Torus were proposed to improve the performance of NoCs while retaining the regular tile-based structure for ease of manufacturing. Ultra-low-latency and low-power express channels between communicating cores have also been proposed to improve the performance of conventional NoCs. However, the performance gain of these approaches is limited due to metal/dielectric based interconnection. Many emerging interconnect technologies such as 3D integration, photonic, Radio Frequency (RF), and wireless interconnects have been envisioned to alleviate the issues of a metal/dielectric interconnect system. However, photonic and RF interconnects need the additional physically overlaid optical waveguides or micro-strip transmission lines to enable data transmission across the NoC. Several on-chip antennas have shown to improve energy efficiency and bandwidth of on-chip data communications. However, the date rates of the mm-wave wireless channels are limited by the state-of-the-art power-efficient transceiver design. Recent research has brought to light novel graphene based antennas operating at THz frequencies. Due to the higher operating frequencies compared to mm-wave transceivers, the data rate that can be supported by these antennas are significantly higher. Higher operating frequencies imply that graphene based antennas are just hundred micrometers in size compared to dimensions in the range of a millimeter of mm-wave antennas. Such reduced dimensions are suitable for integration of several such transceivers in a single NoC for relatively low overheads. In this work, to exploit the benefits of a regular NoC structure in conjunction with emerging Graphene-based wireless interconnect. We propose a toroidal folding based NoC architecture. The novelty of this folding based approach is that we are using low power, high bandwidth, single hop direct point to point wireless links instead of multihop communication that happens through metallic wires. We also propose a novel phased based communication protocol through which multiple wireless links can be made active at a time without having any interference among the transceiver. This offers huge gain in terms of performance as compared to token based mechanism where only a single wireless link can be made active at a time. We also propose to extend Graphene-based wireless links to enable energy-efficient, phase-based chip-to-chip communication to create a seamless, wireless interconnection fabric for multichip systems as well. Through cycle-accurate system-level simulations, we demonstrate that such designs with torus like folding based on THz links instead of global wires along with the proposed phase based multichip systems. We provide estimates that they are able to provide significant gains (about 3 to 4 times better in terms of achievable bandwidth, packet latency and average packet energy when compared to wired system) in performance and energy efficiency in data transfer in a NoC as well as multichip system. Thus, realization of these kind of interconnection framework that could support high data rate links in Tera-bits-per-second that will alleviate the capacity limitations of current interconnection framework

    Study of hybrid and pure plasmonic terahertz antennas based on graphene guided-wave structures

    Get PDF
    Graphene is a unique material for the implementation of terahertz antennas due to extraordinary properties of the resulting devices, such as tunability and compactness. Existing graphene antennas are based on pure plasmonic structures, which are compact but show moderate to high losses. To achieve higher efficiency with low cost, one can apply the theory behind dielectric resonator antennas widely used in millimeter-wave systems. This paper presents the concept of hybridization of surface plasmon and dielectric wave modes. Then, via an analysis of one-dimensional structures, a comparison of the potential capabilities of pure and hybrid plasmonic antennas is performed from the perspectives of radiation efficiency, tunability, and miniaturization. Additionally, the impact of the quality of graphene upon the performance of the compared structures is evaluated. On the one hand, results show that hybrid structures deliver high gain with moderate miniaturization and tunability, rendering them suitable for applications requiring a delicate balance between the three aspects. On the other hand, pure plasmonic structures can provide higher miniaturization and tunability, yet with low efficiency, suggesting their use for application domains with high flexibility requirements or stringent physical constraints.Author's final draf

    Computing and communications for the software-defined metamaterial paradigm: a context analysis

    Get PDF
    Metamaterials are artificial structures that have recently enabled the realization of novel electromagnetic components with engineered and even unnatural functionalities. Existing metamaterials are specifically designed for a single application working under preset conditions (e.g., electromagnetic cloaking for a fixed angle of incidence) and cannot be reused. Software-defined metamaterials (SDMs) are a much sought-after paradigm shift, exhibiting electromagnetic properties that can be reconfigured at runtime using a set of software primitives. To enable this new technology, SDMs require the integration of a network of controllers within the structure of the metamaterial, where each controller interacts locally and communicates globally to obtain the programmed behavior. The design approach for such controllers and the interconnection network, however, remains unclear due to the unique combination of constraints and requirements of the scenario. To bridge this gap, this paper aims to provide a context analysis from the computation and communication perspectives. Then, analogies are drawn between the SDM scenario and other applications both at the micro and nano scales, identifying possible candidates for the implementation of the controllers and the intra-SDM network. Finally, the main challenges of SDMs related to computing and communications are outlined.Peer ReviewedPostprint (published version
    corecore