323 research outputs found

    High-frequency characterization of embedded components in printed circuit boards

    Get PDF
    The embedding of electronic components is a three-dimensional packaging technology, where chips are placed inside of the printed circuit board instead of on top. The advantage of this technology is the reduced electronic interconnection length between components. The shorter this connection, the faster the signal transmission can occur. Different high-frequency aspects of chip embedding are investigated within this dissertation: interconnections to the embedded chip, crosstalk between signals on the chip and on the board, and interconnections running on top of or underneath embedded components. The high-frequency behavior of tracks running near embedded components is described using a broadband model for multilayer microstrip transmission lines. The proposed model can be used to predict the characteristic impedance and the loss of the lines. The model is based on two similar approximations that reduce the multilayer substrate to an equivalent single-layer structure. The per-unit-length shunt impedance parameters are derived from the complex effective dielectric constant, which is obtained using a variational method. A complex image approach results in the calculation of a frequency-dependent effective height that can be used to determine the per-unit-length resistance and inductance. A deliberate choice was made for a simple but accurate model that could easily be implemented in current high-frequency circuit simulators. Next to quasi-static electromagnetic simulations, a dedicated test vehicle that allows for the direct extraction of the propagation constant of these multilayer microstrips is manufactured and used to verify the model. The verification of the model using simulation and measurements shows that the proposed model slightly overestimates the loss of the measured multilayer microstrips, but is more accurate than the simulations in predicting the characteristic impedance

    Thermal-Aware Networked Many-Core Systems

    Get PDF
    Advancements in IC processing technology has led to the innovation and growth happening in the consumer electronics sector and the evolution of the IT infrastructure supporting this exponential growth. One of the most difficult obstacles to this growth is the removal of large amount of heatgenerated by the processing and communicating nodes on the system. The scaling down of technology and the increase in power density is posing a direct and consequential effect on the rise in temperature. This has resulted in the increase in cooling budgets, and affects both the life-time reliability and performance of the system. Hence, reducing on-chip temperatures has become a major design concern for modern microprocessors. This dissertation addresses the thermal challenges at different levels for both 2D planer and 3D stacked systems. It proposes a self-timed thermal monitoring strategy based on the liberal use of on-chip thermal sensors. This makes use of noise variation tolerant and leakage current based thermal sensing for monitoring purposes. In order to study thermal management issues from early design stages, accurate thermal modeling and analysis at design time is essential. In this regard, spatial temperature profile of the global Cu nanowire for on-chip interconnects has been analyzed. It presents a 3D thermal model of a multicore system in order to investigate the effects of hotspots and the placement of silicon die layers, on the thermal performance of a modern ip-chip package. For a 3D stacked system, the primary design goal is to maximise the performance within the given power and thermal envelopes. Hence, a thermally efficient routing strategy for 3D NoC-Bus hybrid architectures has been proposed to mitigate on-chip temperatures by herding most of the switching activity to the die which is closer to heat sink. Finally, an exploration of various thermal-aware placement approaches for both the 2D and 3D stacked systems has been presented. Various thermal models have been developed and thermal control metrics have been extracted. An efficient thermal-aware application mapping algorithm for a 2D NoC has been presented. It has been shown that the proposed mapping algorithm reduces the effective area reeling under high temperatures when compared to the state of the art.Siirretty Doriast

    VLSI design of high-speed adders for digital signal processing applications.

    Get PDF

    Heterogeneous 2.5D integration on through silicon interposer

    Get PDF
    Β© 2015 AIP Publishing LLC. Driven by the need to reduce the power consumption of mobile devices, and servers/data centers, and yet continue to deliver improved performance and experience by the end consumer of digital data, the semiconductor industry is looking for new technologies for manufacturing integrated circuits (ICs). In this quest, power consumed in transferring data over copper interconnects is a sizeable portion that needs to be addressed now and continuing over the next few decades. 2.5D Through-Si-Interposer (TSI) is a strong candidate to deliver improved performance while consuming lower power than in previous generations of servers/data centers and mobile devices. These low-power/high-performance advantages are realized through achievement of high interconnect densities on the TSI (higher than ever seen on Printed Circuit Boards (PCBs) or organic substrates), and enabling heterogeneous integration on the TSI platform where individual ICs are assembled at close proximity

    μ΄ˆλ―Έμ„Έ 회둜 섀계λ₯Ό μœ„ν•œ 인터컀λ„₯트의 타이밍 뢄석 및 λ””μžμΈ λ£° μœ„λ°˜ 예츑

    Get PDF
    ν•™μœ„λ…Όλ¬Έ (박사) -- μ„œμšΈλŒ€ν•™κ΅ λŒ€ν•™μ› : κ³΅κ³ΌλŒ€ν•™ 전기·컴퓨터곡학뢀, 2021. 2. κΉ€νƒœν™˜.타이밍 뢄석 및 λ””μžμΈ λ£° μœ„λ°˜ μ œκ±°λŠ” λ°˜λ„μ²΄ μΉ© 제쑰λ₯Ό μœ„ν•œ 마슀크 μ œμž‘ 전에 μ™„λ£Œλ˜μ–΄μ•Ό ν•  ν•„μˆ˜ 과정이닀. κ·ΈλŸ¬λ‚˜ νŠΈλžœμ§€μŠ€ν„°μ™€ 인터컀λ„₯트의 변이가 μ¦κ°€ν•˜κ³  있고 λ””μžμΈ λ£° μ—­μ‹œ λ³΅μž‘ν•΄μ§€κ³  있기 λ•Œλ¬Έμ— 타이밍 뢄석 및 λ””μžμΈ λ£° μœ„λ°˜ μ œκ±°λŠ” μ΄ˆλ―Έμ„Έ νšŒλ‘œμ—μ„œ 더 μ–΄λ €μ›Œμ§€κ³  μžˆλ‹€. λ³Έ λ…Όλ¬Έμ—μ„œλŠ” μ΄ˆλ―Έμ„Έ 섀계λ₯Ό μœ„ν•œ 두가지 문제인 타이밍 뢄석과 λ””μžμΈ λ£° μœ„λ°˜μ— λŒ€ν•΄ 닀룬닀. 첫번째둜 곡정 μ½”λ„ˆμ—μ„œ 타이밍 뢄석은 μ‹€λ¦¬μ½˜μœΌλ‘œ μ œμž‘λœ 회둜의 μ„±λŠ₯을 μ •ν™•νžˆ μ˜ˆμΈ‘ν•˜μ§€ λͺ»ν•œλ‹€. κ·Έ μ΄μœ λŠ” 곡정 μ½”λ„ˆμ—μ„œ κ°€μž₯ 느린 타이밍 κ²½λ‘œκ°€ λͺ¨λ“  곡정 μ‘°κ±΄μ—μ„œλ„ κ°€μž₯ 느린 것은 μ•„λ‹ˆκΈ° λ•Œλ¬Έμ΄λ‹€. κ²Œλ‹€κ°€ μΉ© λ‚΄μ˜ μž„κ³„ κ²½λ‘œμ—μ„œ 인터컀λ„₯νŠΈμ— μ˜ν•œ 지연 μ‹œκ°„μ΄ 전체 지연 μ‹œκ°„μ—μ„œμ˜ 영ν–₯이 μ¦κ°€ν•˜κ³  있고, 10λ‚˜λ…Έ μ΄ν•˜ κ³΅μ •μ—μ„œλŠ” 20%λ₯Ό μ΄ˆκ³Όν•˜κ³  μžˆλ‹€. 즉, μ‹€λ¦¬μ½˜μœΌλ‘œ μ œμž‘λœ 회둜의 μ„±λŠ₯을 μ •ν™•νžˆ μ˜ˆμΈ‘ν•˜κΈ° μœ„ν•΄μ„œλŠ” λŒ€ν‘œ νšŒλ‘œκ°€ νŠΈλžœμ§€μŠ€ν„°μ˜ 변이 λΏλ§Œμ•„λ‹ˆλΌ 인터컀λ„₯트의 변이도 λ°˜μ˜ν•΄μ•Όν•œλ‹€. 인터컀λ„₯트λ₯Ό κ΅¬μ„±ν•˜λŠ” κΈˆμ†μ΄ 10μΈ΅ 이상 μ‚¬μš©λ˜κ³  있고, 각 측을 κ΅¬μ„±ν•˜λŠ” κΈˆμ†μ˜ μ €ν•­κ³Ό μΊνŒ¨μ‹œν„΄μŠ€μ™€ λΉ„μ•„ 저항이 λͺ¨λ‘ 회둜 지연 μ‹œκ°„μ— 영ν–₯을 μ£ΌκΈ° λ•Œλ¬Έμ— λŒ€ν‘œ 회둜λ₯Ό μ°ΎλŠ” λ¬Έμ œλŠ” 차원이 맀우 높은 μ˜μ—­μ—μ„œ 졜적의 ν•΄λ₯Ό μ°ΎλŠ” 방법이 ν•„μš”ν•˜λ‹€. 이λ₯Ό μœ„ν•΄ 인터컀λ„₯트λ₯Ό μ œμž‘ν•˜λŠ” 곡정(λ°± μ—”λ“œ 였브 라인)의 변이λ₯Ό λ°˜μ˜ν•œ λŒ€ν‘œ 회둜λ₯Ό μƒμ„±ν•˜λŠ” 방법을 μ œμ•ˆν•˜μ˜€λ‹€. 곡정 변이가 μ—†μ„λ•Œ κ°€μž₯ 느린 타이밍 κ²½λ‘œμ— μ‚¬μš©λœ κ²Œμ΄νŠΈμ™€ λΌμš°νŒ… νŒ¨ν„΄μ„ λ³€κ²½ν•˜λ©΄μ„œ μ μ§„μ μœΌλ‘œ νƒμƒ‰ν•˜λŠ” 방법이닀. ꡬ체적으둜, λ³Έ λ…Όλ¬Έμ—μ„œ μ œμ•ˆν•˜λŠ” ν•©μ„± ν”„λ ˆμž„μ›Œν¬λŠ” λ‹€μŒμ˜ μƒˆλ‘œμš΄ κΈ°μˆ λ“€μ„ ν†΅ν•©ν•˜μ˜€λ‹€: (1) λΌμš°νŒ…μ„ κ΅¬μ„±ν•˜λŠ” μ—¬λŸ¬ κΈˆμ† μΈ΅κ³Ό λΉ„μ•„λ₯Ό μΆ”μΆœν•˜κ³  탐색 μ‹œκ°„ κ°μ†Œλ₯Ό μœ„ν•΄ μœ μ‚¬ν•œ ꡬ성듀을 같은 λ²”μ£Όλ‘œ λΆ„λ₯˜ν•˜μ˜€λ‹€. (2) λΉ λ₯΄κ³  μ •ν™•ν•œ 타이밍 뢄석을 μœ„ν•˜μ—¬ μ—¬λŸ¬ κΈˆμ† μΈ΅κ³Ό λΉ„μ•„λ“€μ˜ 변이λ₯Ό μˆ˜μ‹ν™”ν•˜μ˜€λ‹€. (3) ν™•μž₯성을 κ³ λ €ν•˜μ—¬ 일반적인 링 μ˜€μ‹€λ ˆμ΄ν„°λ‘œ λŒ€ν‘œνšŒλ‘œλ₯Ό νƒμƒ‰ν•˜μ˜€λ‹€. λ‘λ²ˆμ§Έλ‘œ λ””μžμΈ 룰의 λ³΅μž‘λ„κ°€ μ¦κ°€ν•˜κ³  있고, 이둜 인해 ν‘œμ€€ μ…€λ“€μ˜ 인터컀λ„₯트λ₯Ό ν†΅ν•œ 연결을 μ§„ν–‰ν•˜λŠ” λ™μ•ˆ λ””μžμΈ λ£° μœ„λ°˜μ΄ μ¦κ°€ν•˜κ³  μžˆλ‹€. κ²Œλ‹€κ°€ ν‘œμ€€ μ…€μ˜ 크기가 계속 μž‘μ•„μ§€λ©΄μ„œ μ…€λ“€μ˜ 연결은 점점 μ–΄λ €μ›Œμ§€κ³  μžˆλ‹€. κΈ°μ‘΄μ—λŠ” 회둜 λ‚΄ λͺ¨λ“  ν‘œμ€€ 셀을 μ—°κ²°ν•˜λŠ”λ° ν•„μš”ν•œ νŠΈλž™ 수, κ°€λŠ₯ν•œ νŠΈλž™ 수, 이듀 κ°„μ˜ 차이λ₯Ό μ΄μš©ν•˜μ—¬ μ—°κ²° κ°€λŠ₯성을 νŒλ‹¨ν•˜κ³ , λ””μžμΈ λ£° μœ„λ°˜μ΄ λ°œμƒν•˜μ§€ μ•Šλ„λ‘ μ…€ 배치λ₯Ό μ΅œμ ν™”ν•˜μ˜€λ‹€. κ·ΈλŸ¬λ‚˜ κΈ°μ‘΄ 방법은 μ΅œμ‹  κ³΅μ •μ—μ„œλŠ” μ •ν™•ν•˜μ§€ μ•ŠκΈ° λ•Œλ¬Έμ— 더 λ§Žμ€ 정보λ₯Ό μ΄μš©ν•œ νšŒλ‘œλ‚΄ λͺ¨λ“  ν‘œμ€€ μ…€ μ‚¬μ΄μ˜ μ—°κ²° κ°€λŠ₯성을 μ˜ˆμΈ‘ν•˜λŠ” 방법이 ν•„μš”ν•˜λ‹€. λ³Έ λ…Όλ¬Έμ—μ„œλŠ” 기계 ν•™μŠ΅μ„ 톡해 λ””μžμΈ λ£° μœ„λ°˜μ΄ λ°œμƒν•˜λŠ” μ˜μ—­ 및 개수λ₯Ό μ˜ˆμΈ‘ν•˜κ³  이λ₯Ό 쀄이기 μœ„ν•΄ ν‘œμ€€ μ…€μ˜ 배치λ₯Ό λ°”κΎΈλŠ” 방법을 μ œμ•ˆν•˜μ˜€λ‹€. λ””μžμΈ λ£° μœ„λ°˜ μ˜μ—­μ€ 이진 λΆ„λ₯˜λ‘œ μ˜ˆμΈ‘ν•˜μ˜€κ³  ν‘œμ€€ μ…€μ˜ λ°°μΉ˜λŠ” λ””μžμΈ λ£° μœ„λ°˜ 개수λ₯Ό μ΅œμ†Œν™”ν•˜λŠ” λ°©ν–₯으둜 μ΅œμ ν™”λ₯Ό μˆ˜ν–‰ν•˜μ˜€λ‹€. μ œμ•ˆν•˜λŠ” ν”„λ ˆμž„μ›Œν¬λŠ” λ‹€μŒμ˜ 세가지 기술둜 κ΅¬μ„±λ˜μ—ˆλ‹€: (1) 회둜 λ ˆμ΄μ•„μ›ƒμ„ μ—¬λŸ¬ 개의 μ •μ‚¬κ°ν˜• 격자둜 λ‚˜λˆ„κ³  각 κ²©μžμ—μ„œ λΌμš°νŒ…μ„ μ˜ˆμΈ‘ν•  수 μžˆλŠ” μš”μ†Œλ“€μ„ μΆ”μΆœν•œλ‹€. (2) 각 κ²©μžμ—μ„œ λ””μžμΈ λ£° μœ„λ°˜μ΄ μžˆλŠ”μ§€ μ—¬λΆ€λ₯Ό νŒλ‹¨ν•˜λŠ” 이진 λΆ„λ₯˜λ₯Ό μˆ˜ν–‰ν•œλ‹€. (3) λ©”νƒ€νœ΄λ¦¬μŠ€ν‹± μ΅œμ ν™” λ˜λŠ” λ² μ΄μ§€μ•ˆ μ΅œμ ν™”λ₯Ό μ΄μš©ν•˜μ—¬ 전체 λ””μžμΈ λ£° μœ„λ°˜ κ°œμˆ˜κ°€ κ°μ†Œν•˜λ„λ‘ 각 κ²©μžμ— μžˆλŠ” ν‘œμ€€ 셀을 움직인닀.Timing analysis and clearing design rule violations are the essential steps for taping out a chip. However, they keep getting harder in deep sub-micron circuits because the variations of transistors and interconnects have been increasing and design rules have become more complex. This dissertation addresses two problems on timing analysis and design rule violations for synthesizing deep sub-micron circuits. Firstly, timing analysis in process corners can not capture post-Si performance accurately because the slowest path in the process corner is not always the slowest one in the post-Si instances. In addition, the proportion of interconnect delay in the critical path on a chip is increasing and becomes over 20% in sub-10nm technologies, which means in order to capture post-Si performance accurately, the representative critical path circuit should reflect not only FEOL (front-end-of-line) but also BEOL (backend-of-line) variations. Since the number of BEOL metal layers exceeds ten and the layers have variation on resistance and capacitance intermixed with resistance variation on vias between them, a very high dimensional design space exploration is necessary to synthesize a representative critical path circuit which is able to provide an accurate performance prediction. To cope with this, I propose a BEOL-aware methodology of synthesizing a representative critical path circuit, which is able to incrementally explore, starting from an initial path circuit on the post-Si target circuit, routing patterns (i.e., BEOL reconfiguring) as well as gate resizing on the path circuit. Precisely, the synthesis framework of critical path circuit integrates a set of novel techniques: (1) extracting and classifying BEOL configurations for lightening design space complexity, (2) formulating BEOL random variables for fast and accurate timing analysis, and (3) exploring alternative (ring oscillator) circuit structures for extending the applicability of this work. Secondly, the complexity of design rules has been increasing and results in more design rule violations during routing. In addition, the size of standard cell keeps decreasing and it makes routing harder. In the conventional P&R flow, the routability of pre-routed layout is predicted by routing congestion obtained from global routing, and then placement is optimized not to cause design rule violations. But it turned out to be inaccurate in advanced technology nodes so that it is necessary to predict routability with more features. I propose a methodology of predicting the hotspots of design rule violations (DRVs) using machine learning with placement related features and the conventional routing congestion, and perturbating placed cells to reduce the number of DRVs. Precisely, the hotspots are predicted by a pre-trained binary classification model and placement perturbation is performed by global optimization methods to minimize the number of DRVs predicted by a pre-trained regression model. To do this, the framework is composed of three techniques: (1) dividing the circuit layout into multiple rectangular grids and extracting features such as pin density, cell density, global routing results (demand, capacity and overflow), and more in the placement phase, (2) predicting if each grid has DRVs using a binary classification model, and (3) perturbating the placed standard cells in the hotspots to minimize the number of DRVs predicted by a regression model.1 Introduction 1 1.1 Representative Critical Path Circuit . . . . . . . . . . . . . . . . . . . 1 1.2 Prediction of Design Rule Violations and Placement Perturbation . . . 5 1.3 Contributions of This Dissertation . . . . . . . . . . . . . . . . . . . 7 2 Methodology for Synthesizing Representative Critical Path Circuits reflecting BEOL Timing Variation 9 2.1 Motivation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9 2.2 Definitions and Overall Flow . . . . . . . . . . . . . . . . . . . . . . 12 2.3 Techniques for BEOL-Aware RCP Generation . . . . . . . . . . . . . 17 2.3.1 Clustering BEOL Configurations . . . . . . . . . . . . . . . . 17 2.3.2 Formulating Statistical BEOL Random Variables . . . . . . . 18 2.3.3 Delay Modeling . . . . . . . . . . . . . . . . . . . . . . . . 22 2.3.4 Exploring Ring Oscillator Circuit Structures . . . . . . . . . . 24 2.4 Experimental Results . . . . . . . . . . . . . . . . . . . . . . . . . . 26 2.5 Further Study on Variations . . . . . . . . . . . . . . . . . . . . . . . 37 3 Methodology for Reducing Routing Failures through Enhanced Prediction on Design Rule Violations in Placement 39 3.1 Motivation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39 3.2 Overall Flow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42 3.3 Techniques for Reducing Routing Failures . . . . . . . . . . . . . . . 43 3.3.1 Binary Classification . . . . . . . . . . . . . . . . . . . . . . 43 3.3.2 Regression . . . . . . . . . . . . . . . . . . . . . . . . . . . 45 3.3.3 Optimization . . . . . . . . . . . . . . . . . . . . . . . . . . 46 3.3.4 Placement Perturbation . . . . . . . . . . . . . . . . . . . . . 47 3.4 Experiments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 51 3.4.1 Experiments Setup . . . . . . . . . . . . . . . . . . . . . . . 51 3.4.2 Hotspot Prediction . . . . . . . . . . . . . . . . . . . . . . . 51 3.4.3 Regression . . . . . . . . . . . . . . . . . . . . . . . . . . . 55 3.4.4 Placement Perturbation . . . . . . . . . . . . . . . . . . . . . 57 4 Conclusions 61 4.1 Synthesis of Representative Critical Path Circuits reflecting BEOL Timing Variation . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61 4.2 Reduction of Routing Failures through Enhanced Prediction on Design Rule Violations in Placement . . . . . . . . . . . . . . . . . . . . . . 62 Abstract (In Korean) 69Docto

    Architecting a One-to-many Traffic-Aware and Secure Millimeter-Wave Wireless Network-in-Package Interconnect for Multichip Systems

    Get PDF
    With the aggressive scaling of device geometries, the yield of complex Multi Core Single Chip(MCSC) systems with many cores will decrease due to the higher probability of manufacturing defects especially, in dies with a large area. Disintegration of large System-on-Chips(SoCs) into smaller chips called chiplets has shown to improve the yield and cost of complex systems. Therefore, platform-based computing modules such as embedded systems and micro-servers have already adopted Multi Core Multi Chip (MCMC) architectures overMCSC architectures. Due to the scaling of memory intensive parallel applications in such systems, data is more likely to be shared among various cores residing in different chips resulting in a significant increase in chip-to-chip traffic, especially one-to-many traffic. This one-to-many traffic is originated mainly to maintain cache-coherence between many cores residing in multiple chips. Besides, one-to-many traffics are also exploited by many parallel programming models, system-level synchronization mechanisms, and control signals. How-ever, state-of-the-art Network-on-Chip (NoC)-based wired interconnection architectures do not provide enough support as they handle such one-to-many traffic as multiple unicast trafficusing a multi-hop MCMC communication fabric. As a result, even a small portion of such one-to-many traffic can significantly reduce system performance as traditional NoC-basedinterconnect cannot mask the high latency and energy consumption caused by chip-to-chipwired I/Os. Moreover, with the increase in memory intensive applications and scaling of MCMC systems, traditional NoC-based wired interconnects fail to provide a scalable inter-connection solution required to support the increased cache-coherence and synchronization generated one-to-many traffic in future MCMC-based High-Performance Computing (HPC) nodes. Therefore, these computation and memory intensive MCMC systems need an energy-efficient, low latency, and scalable one-to-many (broadcast/multicast) traffic-aware interconnection infrastructure to ensure high-performance. Research in recent years has shown that Wireless Network-in-Package (WiNiP) architectures with CMOS compatible Millimeter-Wave (mm-wave) transceivers can provide a scalable, low latency, and energy-efficient interconnect solution for on and off-chip communication. In this dissertation, a one-to-many traffic-aware WiNiP interconnection architecture with a starvation-free hybrid Medium Access Control (MAC), an asymmetric topology, and a novel flow control has been proposed. The different components of the proposed architecture are individually one-to-many traffic-aware and as a system, they collaborate with each other to provide required support for one-to-many traffic communication in a MCMC environment. It has been shown that such interconnection architecture can reduce energy consumption and average packet latency by 46.96% and 47.08% respectively for MCMC systems. Despite providing performance enhancements, wireless channel, being an unguided medium, is vulnerable to various security attacks such as jamming induced Denial-of-Service (DoS), eavesdropping, and spoofing. Further, to minimize the time-to-market and design costs, modern SoCs often use Third Party IPs (3PIPs) from untrusted organizations. An adversary either at the foundry or at the 3PIP design house can introduce a malicious circuitry, to jeopardize an SoC. Such malicious circuitry is known as a Hardware Trojan (HT). An HTplanted in the WiNiP from a vulnerable design or manufacturing process can compromise a Wireless Interface (WI) to enable illegitimate transmission through the infected WI resulting in a potential DoS attack for other WIs in the MCMC system. Moreover, HTs can be used for various other malicious purposes, including battery exhaustion, functionality subversion, and information leakage. This information when leaked to a malicious external attackercan reveals important information regarding the application suites running on the system, thereby compromising the user profile. To address persistent jamming-based DoS attack in WiNiP, in this dissertation, a secure WiNiP interconnection architecture for MCMC systems has been proposed that re-uses the one-to-many traffic-aware MAC and existing Design for Testability (DFT) hardware along with Machine Learning (ML) approach. Furthermore, a novel Simulated Annealing (SA)-based routing obfuscation mechanism was also proposed toprotect against an HT-assisted novel traffic analysis attack. Simulation results show that,the ML classifiers can achieve an accuracy of 99.87% for DoS attack detection while SA-basedrouting obfuscation could reduce application detection accuracy to only 15% for HT-assistedtraffic analysis attack and hence, secure the WiNiP fabric from age-old and emerging attacks

    Development of the readout electronics for the high luminosity upgrade of the CMS outer strip tracker

    Get PDF
    The High-luminosity upgrade of the LHC will deliver the dramatic increase in luminosity required for precision measurements and to probe Beyond the Standard Model theories. At the same time, it will present unprecedented challenges in terms of pileup and radiation degradation. The CMS experiment is set for an extensive upgrade campaign, which includes the replacement of the current Tracker with another all-silicon detector with improved performance and reduced mass. One of the most ambitious aspects of the future Tracker will be the ability to identify high transverse momentum track candidates at every bunch crossing and with very low latency, in order to include tracking information at the L1 hardware trigger stage, a critical and effective step to achieve triggers with high purity and low threshold. This thesis presents the development and the testing of the CMS Binary Chip 2 (CBC2), a prototype Application Specific Integrated Circuit (ASIC) for the binary front-end readout of silicon strip detectors modules in the Outer Tracker, which also integrates the logic necessary to identify high transverse momentum candidates by correlating hits from two silicon strip detectors, separated by a few millimetres. The design exploits the relation between the transverse momentum and the curvature in the trajectory of charged particles subject to the large magnetic field of CMS. The logic which follows the analogue amplification and binary conversion rejects clusters wider than a programmable maximum number of adjacent strips, compensates for the geometrical offset in the alignment of the module, and correlates the hits between the two sensor layers. Data are stored in a memory buffer before being transferred to an additional buffer stage and being serially read-out upon receipt of a Level 1 trigger. The CBC2 has been subject to extensive testing since its production in January 2013: this work reports the results of electrical characterization, of the total ionizing dose irradiation tests, and the performance of a prototype module instrumented with CBC2 in realistic conditions in a beam test. The latter is the first experimental demonstration of the Pt-selection principle central to the future of CMS. Several total-ionizing-dose tests highlighted no functional issue, but observed significant excess static current for doses <1 Mrad. The source of the excess was traced to static leakage current in the memory pipeline, and is believed to be a consequence of the high instantaneous dose delivered by the x-ray setup. Nevertheless, a new SRAM layout aimed at removing the leakage path was proposed for the CBC3. The results of single event upset testing of the chip are also reported, two of the three distinct memory circuits used in the chip were proven to meet the expected robustness, while the third will be replaced in the next iteration of the chip. Finally, the next version of the ASIC is presented, highlighting the additional features of the final prototype, such as half-strip resolution, additional trigger logic functionality, longer trigger latency and higher rate, and fully synchronous stub readout.Open Acces
    • …
    corecore