1,439 research outputs found

    Physical Design and Clock Tree Synthesis Methods For A 8-Bit Processor

    Get PDF
    Now days a number of processors are available with a lot kind of feature from different industries. A processor with similar kind of architecture of the current processors only missing the memory stuffs like the RAM and ROM has been designed here with the help of Verilog style of coding. This processor contains architecturally the program counter, instruction register, ALU, ALU latch, General Purpose Registers, control state module, flag registers and the core module containing all the modules. And a test module is designed for testing the processor. After the design of the processor with successful functionality, the processor is synthesized with 180nm technology. The synthesis is performed with the data path optimization like the selection of proper adders and multipliers for timing optimization in the data path while the ALU operations are performed. During synthesis how to take care of the worst negative slack (WNS), how to include the clock gating cells, how to define the cost and path groups etc. have been covered. After the proper synthesis we get the proper net list and the synthesized constraint file for carrying out the physical design. In physical design the steps like floor-planning, partitioning, placement, legalization of the placement, clock tree synthesis, and routing etc. have been performed. At all the stages the static timing analysis is performed for the timing meet of the design for better performance in terms of timing or frequency. Each steps of physical design are discussed with special effort towards the concepts behind the step. Out of all the steps of physical design the clock tree synthesis is performed with some improvement in the performance of the clock tree by creating a symmetrical clock tree and maintaining more common clock paths. A special algorithm has been framed for creating a symmetrical clock tree and thereby making the power consumption of the clock tree low

    μ΄ˆλ―Έμ„Έ 회둜 섀계λ₯Ό μœ„ν•œ 인터컀λ„₯트의 타이밍 뢄석 및 λ””μžμΈ λ£° μœ„λ°˜ 예츑

    Get PDF
    ν•™μœ„λ…Όλ¬Έ (박사) -- μ„œμšΈλŒ€ν•™κ΅ λŒ€ν•™μ› : κ³΅κ³ΌλŒ€ν•™ 전기·컴퓨터곡학뢀, 2021. 2. κΉ€νƒœν™˜.타이밍 뢄석 및 λ””μžμΈ λ£° μœ„λ°˜ μ œκ±°λŠ” λ°˜λ„μ²΄ μΉ© 제쑰λ₯Ό μœ„ν•œ 마슀크 μ œμž‘ 전에 μ™„λ£Œλ˜μ–΄μ•Ό ν•  ν•„μˆ˜ 과정이닀. κ·ΈλŸ¬λ‚˜ νŠΈλžœμ§€μŠ€ν„°μ™€ 인터컀λ„₯트의 변이가 μ¦κ°€ν•˜κ³  있고 λ””μžμΈ λ£° μ—­μ‹œ λ³΅μž‘ν•΄μ§€κ³  있기 λ•Œλ¬Έμ— 타이밍 뢄석 및 λ””μžμΈ λ£° μœ„λ°˜ μ œκ±°λŠ” μ΄ˆλ―Έμ„Έ νšŒλ‘œμ—μ„œ 더 μ–΄λ €μ›Œμ§€κ³  μžˆλ‹€. λ³Έ λ…Όλ¬Έμ—μ„œλŠ” μ΄ˆλ―Έμ„Έ 섀계λ₯Ό μœ„ν•œ 두가지 문제인 타이밍 뢄석과 λ””μžμΈ λ£° μœ„λ°˜μ— λŒ€ν•΄ 닀룬닀. 첫번째둜 곡정 μ½”λ„ˆμ—μ„œ 타이밍 뢄석은 μ‹€λ¦¬μ½˜μœΌλ‘œ μ œμž‘λœ 회둜의 μ„±λŠ₯을 μ •ν™•νžˆ μ˜ˆμΈ‘ν•˜μ§€ λͺ»ν•œλ‹€. κ·Έ μ΄μœ λŠ” 곡정 μ½”λ„ˆμ—μ„œ κ°€μž₯ 느린 타이밍 κ²½λ‘œκ°€ λͺ¨λ“  곡정 μ‘°κ±΄μ—μ„œλ„ κ°€μž₯ 느린 것은 μ•„λ‹ˆκΈ° λ•Œλ¬Έμ΄λ‹€. κ²Œλ‹€κ°€ μΉ© λ‚΄μ˜ μž„κ³„ κ²½λ‘œμ—μ„œ 인터컀λ„₯νŠΈμ— μ˜ν•œ 지연 μ‹œκ°„μ΄ 전체 지연 μ‹œκ°„μ—μ„œμ˜ 영ν–₯이 μ¦κ°€ν•˜κ³  있고, 10λ‚˜λ…Έ μ΄ν•˜ κ³΅μ •μ—μ„œλŠ” 20%λ₯Ό μ΄ˆκ³Όν•˜κ³  μžˆλ‹€. 즉, μ‹€λ¦¬μ½˜μœΌλ‘œ μ œμž‘λœ 회둜의 μ„±λŠ₯을 μ •ν™•νžˆ μ˜ˆμΈ‘ν•˜κΈ° μœ„ν•΄μ„œλŠ” λŒ€ν‘œ νšŒλ‘œκ°€ νŠΈλžœμ§€μŠ€ν„°μ˜ 변이 λΏλ§Œμ•„λ‹ˆλΌ 인터컀λ„₯트의 변이도 λ°˜μ˜ν•΄μ•Όν•œλ‹€. 인터컀λ„₯트λ₯Ό κ΅¬μ„±ν•˜λŠ” κΈˆμ†μ΄ 10μΈ΅ 이상 μ‚¬μš©λ˜κ³  있고, 각 측을 κ΅¬μ„±ν•˜λŠ” κΈˆμ†μ˜ μ €ν•­κ³Ό μΊνŒ¨μ‹œν„΄μŠ€μ™€ λΉ„μ•„ 저항이 λͺ¨λ‘ 회둜 지연 μ‹œκ°„μ— 영ν–₯을 μ£ΌκΈ° λ•Œλ¬Έμ— λŒ€ν‘œ 회둜λ₯Ό μ°ΎλŠ” λ¬Έμ œλŠ” 차원이 맀우 높은 μ˜μ—­μ—μ„œ 졜적의 ν•΄λ₯Ό μ°ΎλŠ” 방법이 ν•„μš”ν•˜λ‹€. 이λ₯Ό μœ„ν•΄ 인터컀λ„₯트λ₯Ό μ œμž‘ν•˜λŠ” 곡정(λ°± μ—”λ“œ 였브 라인)의 변이λ₯Ό λ°˜μ˜ν•œ λŒ€ν‘œ 회둜λ₯Ό μƒμ„±ν•˜λŠ” 방법을 μ œμ•ˆν•˜μ˜€λ‹€. 곡정 변이가 μ—†μ„λ•Œ κ°€μž₯ 느린 타이밍 κ²½λ‘œμ— μ‚¬μš©λœ κ²Œμ΄νŠΈμ™€ λΌμš°νŒ… νŒ¨ν„΄μ„ λ³€κ²½ν•˜λ©΄μ„œ μ μ§„μ μœΌλ‘œ νƒμƒ‰ν•˜λŠ” 방법이닀. ꡬ체적으둜, λ³Έ λ…Όλ¬Έμ—μ„œ μ œμ•ˆν•˜λŠ” ν•©μ„± ν”„λ ˆμž„μ›Œν¬λŠ” λ‹€μŒμ˜ μƒˆλ‘œμš΄ κΈ°μˆ λ“€μ„ ν†΅ν•©ν•˜μ˜€λ‹€: (1) λΌμš°νŒ…μ„ κ΅¬μ„±ν•˜λŠ” μ—¬λŸ¬ κΈˆμ† μΈ΅κ³Ό λΉ„μ•„λ₯Ό μΆ”μΆœν•˜κ³  탐색 μ‹œκ°„ κ°μ†Œλ₯Ό μœ„ν•΄ μœ μ‚¬ν•œ ꡬ성듀을 같은 λ²”μ£Όλ‘œ λΆ„λ₯˜ν•˜μ˜€λ‹€. (2) λΉ λ₯΄κ³  μ •ν™•ν•œ 타이밍 뢄석을 μœ„ν•˜μ—¬ μ—¬λŸ¬ κΈˆμ† μΈ΅κ³Ό λΉ„μ•„λ“€μ˜ 변이λ₯Ό μˆ˜μ‹ν™”ν•˜μ˜€λ‹€. (3) ν™•μž₯성을 κ³ λ €ν•˜μ—¬ 일반적인 링 μ˜€μ‹€λ ˆμ΄ν„°λ‘œ λŒ€ν‘œνšŒλ‘œλ₯Ό νƒμƒ‰ν•˜μ˜€λ‹€. λ‘λ²ˆμ§Έλ‘œ λ””μžμΈ 룰의 λ³΅μž‘λ„κ°€ μ¦κ°€ν•˜κ³  있고, 이둜 인해 ν‘œμ€€ μ…€λ“€μ˜ 인터컀λ„₯트λ₯Ό ν†΅ν•œ 연결을 μ§„ν–‰ν•˜λŠ” λ™μ•ˆ λ””μžμΈ λ£° μœ„λ°˜μ΄ μ¦κ°€ν•˜κ³  μžˆλ‹€. κ²Œλ‹€κ°€ ν‘œμ€€ μ…€μ˜ 크기가 계속 μž‘μ•„μ§€λ©΄μ„œ μ…€λ“€μ˜ 연결은 점점 μ–΄λ €μ›Œμ§€κ³  μžˆλ‹€. κΈ°μ‘΄μ—λŠ” 회둜 λ‚΄ λͺ¨λ“  ν‘œμ€€ 셀을 μ—°κ²°ν•˜λŠ”λ° ν•„μš”ν•œ νŠΈλž™ 수, κ°€λŠ₯ν•œ νŠΈλž™ 수, 이듀 κ°„μ˜ 차이λ₯Ό μ΄μš©ν•˜μ—¬ μ—°κ²° κ°€λŠ₯성을 νŒλ‹¨ν•˜κ³ , λ””μžμΈ λ£° μœ„λ°˜μ΄ λ°œμƒν•˜μ§€ μ•Šλ„λ‘ μ…€ 배치λ₯Ό μ΅œμ ν™”ν•˜μ˜€λ‹€. κ·ΈλŸ¬λ‚˜ κΈ°μ‘΄ 방법은 μ΅œμ‹  κ³΅μ •μ—μ„œλŠ” μ •ν™•ν•˜μ§€ μ•ŠκΈ° λ•Œλ¬Έμ— 더 λ§Žμ€ 정보λ₯Ό μ΄μš©ν•œ νšŒλ‘œλ‚΄ λͺ¨λ“  ν‘œμ€€ μ…€ μ‚¬μ΄μ˜ μ—°κ²° κ°€λŠ₯성을 μ˜ˆμΈ‘ν•˜λŠ” 방법이 ν•„μš”ν•˜λ‹€. λ³Έ λ…Όλ¬Έμ—μ„œλŠ” 기계 ν•™μŠ΅μ„ 톡해 λ””μžμΈ λ£° μœ„λ°˜μ΄ λ°œμƒν•˜λŠ” μ˜μ—­ 및 개수λ₯Ό μ˜ˆμΈ‘ν•˜κ³  이λ₯Ό 쀄이기 μœ„ν•΄ ν‘œμ€€ μ…€μ˜ 배치λ₯Ό λ°”κΎΈλŠ” 방법을 μ œμ•ˆν•˜μ˜€λ‹€. λ””μžμΈ λ£° μœ„λ°˜ μ˜μ—­μ€ 이진 λΆ„λ₯˜λ‘œ μ˜ˆμΈ‘ν•˜μ˜€κ³  ν‘œμ€€ μ…€μ˜ λ°°μΉ˜λŠ” λ””μžμΈ λ£° μœ„λ°˜ 개수λ₯Ό μ΅œμ†Œν™”ν•˜λŠ” λ°©ν–₯으둜 μ΅œμ ν™”λ₯Ό μˆ˜ν–‰ν•˜μ˜€λ‹€. μ œμ•ˆν•˜λŠ” ν”„λ ˆμž„μ›Œν¬λŠ” λ‹€μŒμ˜ 세가지 기술둜 κ΅¬μ„±λ˜μ—ˆλ‹€: (1) 회둜 λ ˆμ΄μ•„μ›ƒμ„ μ—¬λŸ¬ 개의 μ •μ‚¬κ°ν˜• 격자둜 λ‚˜λˆ„κ³  각 κ²©μžμ—μ„œ λΌμš°νŒ…μ„ μ˜ˆμΈ‘ν•  수 μžˆλŠ” μš”μ†Œλ“€μ„ μΆ”μΆœν•œλ‹€. (2) 각 κ²©μžμ—μ„œ λ””μžμΈ λ£° μœ„λ°˜μ΄ μžˆλŠ”μ§€ μ—¬λΆ€λ₯Ό νŒλ‹¨ν•˜λŠ” 이진 λΆ„λ₯˜λ₯Ό μˆ˜ν–‰ν•œλ‹€. (3) λ©”νƒ€νœ΄λ¦¬μŠ€ν‹± μ΅œμ ν™” λ˜λŠ” λ² μ΄μ§€μ•ˆ μ΅œμ ν™”λ₯Ό μ΄μš©ν•˜μ—¬ 전체 λ””μžμΈ λ£° μœ„λ°˜ κ°œμˆ˜κ°€ κ°μ†Œν•˜λ„λ‘ 각 κ²©μžμ— μžˆλŠ” ν‘œμ€€ 셀을 움직인닀.Timing analysis and clearing design rule violations are the essential steps for taping out a chip. However, they keep getting harder in deep sub-micron circuits because the variations of transistors and interconnects have been increasing and design rules have become more complex. This dissertation addresses two problems on timing analysis and design rule violations for synthesizing deep sub-micron circuits. Firstly, timing analysis in process corners can not capture post-Si performance accurately because the slowest path in the process corner is not always the slowest one in the post-Si instances. In addition, the proportion of interconnect delay in the critical path on a chip is increasing and becomes over 20% in sub-10nm technologies, which means in order to capture post-Si performance accurately, the representative critical path circuit should reflect not only FEOL (front-end-of-line) but also BEOL (backend-of-line) variations. Since the number of BEOL metal layers exceeds ten and the layers have variation on resistance and capacitance intermixed with resistance variation on vias between them, a very high dimensional design space exploration is necessary to synthesize a representative critical path circuit which is able to provide an accurate performance prediction. To cope with this, I propose a BEOL-aware methodology of synthesizing a representative critical path circuit, which is able to incrementally explore, starting from an initial path circuit on the post-Si target circuit, routing patterns (i.e., BEOL reconfiguring) as well as gate resizing on the path circuit. Precisely, the synthesis framework of critical path circuit integrates a set of novel techniques: (1) extracting and classifying BEOL configurations for lightening design space complexity, (2) formulating BEOL random variables for fast and accurate timing analysis, and (3) exploring alternative (ring oscillator) circuit structures for extending the applicability of this work. Secondly, the complexity of design rules has been increasing and results in more design rule violations during routing. In addition, the size of standard cell keeps decreasing and it makes routing harder. In the conventional P&R flow, the routability of pre-routed layout is predicted by routing congestion obtained from global routing, and then placement is optimized not to cause design rule violations. But it turned out to be inaccurate in advanced technology nodes so that it is necessary to predict routability with more features. I propose a methodology of predicting the hotspots of design rule violations (DRVs) using machine learning with placement related features and the conventional routing congestion, and perturbating placed cells to reduce the number of DRVs. Precisely, the hotspots are predicted by a pre-trained binary classification model and placement perturbation is performed by global optimization methods to minimize the number of DRVs predicted by a pre-trained regression model. To do this, the framework is composed of three techniques: (1) dividing the circuit layout into multiple rectangular grids and extracting features such as pin density, cell density, global routing results (demand, capacity and overflow), and more in the placement phase, (2) predicting if each grid has DRVs using a binary classification model, and (3) perturbating the placed standard cells in the hotspots to minimize the number of DRVs predicted by a regression model.1 Introduction 1 1.1 Representative Critical Path Circuit . . . . . . . . . . . . . . . . . . . 1 1.2 Prediction of Design Rule Violations and Placement Perturbation . . . 5 1.3 Contributions of This Dissertation . . . . . . . . . . . . . . . . . . . 7 2 Methodology for Synthesizing Representative Critical Path Circuits reflecting BEOL Timing Variation 9 2.1 Motivation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9 2.2 Definitions and Overall Flow . . . . . . . . . . . . . . . . . . . . . . 12 2.3 Techniques for BEOL-Aware RCP Generation . . . . . . . . . . . . . 17 2.3.1 Clustering BEOL Configurations . . . . . . . . . . . . . . . . 17 2.3.2 Formulating Statistical BEOL Random Variables . . . . . . . 18 2.3.3 Delay Modeling . . . . . . . . . . . . . . . . . . . . . . . . 22 2.3.4 Exploring Ring Oscillator Circuit Structures . . . . . . . . . . 24 2.4 Experimental Results . . . . . . . . . . . . . . . . . . . . . . . . . . 26 2.5 Further Study on Variations . . . . . . . . . . . . . . . . . . . . . . . 37 3 Methodology for Reducing Routing Failures through Enhanced Prediction on Design Rule Violations in Placement 39 3.1 Motivation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39 3.2 Overall Flow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42 3.3 Techniques for Reducing Routing Failures . . . . . . . . . . . . . . . 43 3.3.1 Binary Classification . . . . . . . . . . . . . . . . . . . . . . 43 3.3.2 Regression . . . . . . . . . . . . . . . . . . . . . . . . . . . 45 3.3.3 Optimization . . . . . . . . . . . . . . . . . . . . . . . . . . 46 3.3.4 Placement Perturbation . . . . . . . . . . . . . . . . . . . . . 47 3.4 Experiments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 51 3.4.1 Experiments Setup . . . . . . . . . . . . . . . . . . . . . . . 51 3.4.2 Hotspot Prediction . . . . . . . . . . . . . . . . . . . . . . . 51 3.4.3 Regression . . . . . . . . . . . . . . . . . . . . . . . . . . . 55 3.4.4 Placement Perturbation . . . . . . . . . . . . . . . . . . . . . 57 4 Conclusions 61 4.1 Synthesis of Representative Critical Path Circuits reflecting BEOL Timing Variation . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61 4.2 Reduction of Routing Failures through Enhanced Prediction on Design Rule Violations in Placement . . . . . . . . . . . . . . . . . . . . . . 62 Abstract (In Korean) 69Docto

    A novel deep submicron bulk planar sizing strategy for low energy subthreshold standard cell libraries

    Get PDF
    Engineering andPhysical Science ResearchCouncil (EPSRC) and Arm Ltd for providing funding in the form of grants and studentshipsThis work investigates bulk planar deep submicron semiconductor physics in an attempt to improve standard cell libraries aimed at operation in the subthreshold regime and in Ultra Wide Dynamic Voltage Scaling schemes. The current state of research in the field is examined, with particular emphasis on how subthreshold physical effects degrade robustness, variability and performance. How prevalent these physical effects are in a commercial 65nm library is then investigated by extensive modeling of a BSIM4.5 compact model. Three distinct sizing strategies emerge, cells of each strategy are laid out and post-layout parasitically extracted models simulated to determine the advantages/disadvantages of each. Full custom ring oscillators are designed and manufactured. Measured results reveal a close correlation with the simulated results, with frequency improvements of up to 2.75X/2.43X obs erved for RVT/LVT devices respectively. The experiment provides the first silicon evidence of the improvement capability of the Inverse Narrow Width Effect over a wide supply voltage range, as well as a mechanism of additional temperature stability in the subthreshold regime. A novel sizing strategy is proposed and pursued to determine whether it is able to produce a superior complex circuit design using a commercial digital synthesis flow. Two 128 bit AES cores are synthesized from the novel sizing strategy and compared against a third AES core synthesized from a state-of-the-art subthreshold standard cell library used by ARM. Results show improvements in energy-per-cycle of up to 27.3% and frequency improvements of up to 10.25X. The novel subthreshold sizing strategy proves superior over a temperature range of 0 Β°C to 85 Β°C with a nominal (20 Β°C) improvement in energy-per-cycle of 24% and frequency improvement of 8.65X. A comparison to prior art is then performed. Valid cases are presented where the proposed sizing strategy would be a candidate to produce superior subthreshold circuits

    Evaluation of temperature-performance trade-offs in wireless network-on-chip architectures

    Get PDF
    Continued scaling of device geometries according to Moore\u27s Law is enabling complete end-user systems on a single chip. Massive multicore processors are enablers for many information and communication technology (ICT) innovations spanning various domains, including healthcare, defense, and entertainment. In the design of high-performance massive multicore chips, power and heat are dominant constraints. Temperature hotspots witnessed in multicore systems exacerbate the problem of reliability in deep submicron technologies. Hence, there is a great need to explore holistic power and thermal optimization and management strategies for the massive multicore chips. High power consumption not only raises chip temperature and cooling cost, but also decreases chip reliability and performance. Thus, addressing thermal concerns at different stages of the design and operation is critical to the success of future generation systems. The performance of a multicore chip is also influenced by its overall communication infrastructure, which is predominantly a Network-on-Chip (NoC). The existing method of implementing a NoC with planar metal interconnects is deficient due to high latency, significant power consumption, and temperature hotspots arising out of long, multi-hop wireline links used in data exchange. On-chip wireless networks are envisioned as an enabling technology to design low power and high bandwidth massive multicore architectures. However, optimizing wireless NoCs for best performance does not necessarily guarantee a thermally optimal interconnection architecture. The wireless links being highly efficient attract very high traffic densities which in turn results in temperature hotspots. Therefore, while the wireless links result in better performance and energy-efficiency, they can also cause temperature hotspots and undermine the reliability of the system. Consequently, the location and utilization of the wireless links is an important factor in thermal optimization of high performance wireless Networks-on-Chip. Architectural innovation in conjunction with suitable power and thermal management strategies is the key for designing high performance yet energy-efficient massive multicore chips. This work contributes to exploration of various the design methodologies for establishing wireless NoC architectures that achieve the best trade-offs between temperature, performance and energy-efficiency. It further demonstrates that incorporating Dynamic Thermal Management (DTM) on a multicore chip designed with such temperature and performance optimized Wireless Network-on-Chip architectures improves thermal profile while simultaneously providing lower latency and reduced network energy dissipation compared to its conventional counterparts

    Modeling and Analysis of Large-Scale On-Chip Interconnects

    Get PDF
    As IC technologies scale to the nanometer regime, efficient and accurate modeling and analysis of VLSI systems with billions of transistors and interconnects becomes increasingly critical and difficult. VLSI systems impacted by the increasingly high dimensional process-voltage-temperature (PVT) variations demand much more modeling and analysis efforts than ever before, while the analysis of large scale on-chip interconnects that requires solving tens of millions of unknowns imposes great challenges in computer aided design areas. This dissertation presents new methodologies for addressing the above two important challenging issues for large scale on-chip interconnect modeling and analysis: In the past, the standard statistical circuit modeling techniques usually employ principal component analysis (PCA) and its variants to reduce the parameter dimensionality. Although widely adopted, these techniques can be very limited since parameter dimension reduction is achieved by merely considering the statistical distributions of the controlling parameters but neglecting the important correspondence between these parameters and the circuit performances (responses) under modeling. This dissertation presents a variety of performance-oriented parameter dimension reduction methods that can lead to more than one order of magnitude parameter reduction for a variety of VLSI circuit modeling and analysis problems. The sheer size of present day power/ground distribution networks makes their analysis and verification tasks extremely runtime and memory inefficient, and at the same time, limits the extent to which these networks can be optimized. Given today?s commodity graphics processing units (GPUs) that can deliver more than 500 GFlops (Flops: floating point operations per second). computing power and 100GB/s memory bandwidth, which are more than 10X greater than offered by modern day general-purpose quad-core microprocessors, it is very desirable to convert the impressive GPU computing power to usable design automation tools for VLSI verification. In this dissertation, for the first time, we show how to exploit recent massively parallel single-instruction multiple-thread (SIMT) based graphics processing unit (GPU) platforms to tackle power grid analysis with very promising performance. Our GPU based network analyzer is capable of solving tens of millions of power grid nodes in just a few seconds. Additionally, with the above GPU based simulation framework, more challenging three-dimensional full-chip thermal analysis can be solved in a much more efficient way than ever before

    Multivariate Adaptive Regression Splines in Standard Cell Characterization for Nanometer Technology in Semiconductor

    Get PDF
    Multivariate adaptive regression splines (MARSP) is a nonparametric regression method. It is an adaptive procedure which does not have any predetermined regression model. With that said, the model structure of MARSP is constructed dynamically and adaptively according to the information derived from the data. Because of its ability to capture essential nonlinearities and interactions, MARSP is considered as a great fit for high-dimension problems. This chapter gives an application of MARSP in semiconductor field, more specifically, in standard cell characterization. The objective of standard cell characterization is to create a set of high-quality models of a standard cell library that accurately and efficiently capture cell behaviors. In this chapter, the MARSP method is employed to characterize the gate delay as a function of many parameters including process-voltage-temperature parameters. Due to its ability of capturing essential nonlinearities and interactions, MARSP method helps to achieve significant accuracy improvement

    FinFET Cell Library Design and Characterization

    Get PDF
    abstract: Modern-day integrated circuits are very capable, often containing more than a billion transistors. For example, the Intel Ivy Bridge 4C chip has about 1.2 billion transistors on a 160 mm2 die. Designing such complex circuits requires automation. Therefore, these designs are made with the help of computer aided design (CAD) tools. A major part of this custom design flow for application specific integrated circuits (ASIC) is the design of standard cell libraries. Standard cell libraries are a collection of primitives from which the automatic place and route (APR) tools can choose a collection of cells and implement the design that is being put together. To operate efficiently, the CAD tools require multiple views of each cell in the standard cell library. This data is obtained by characterizing the standard cell libraries and compiling the results in formats that the tools can easily understand and utilize. My thesis focusses on the design and characterization of one such standard cell library in the ASAP7 7 nm predictive design kit (PDK). The complete design flow, starting from the choice of the cell architecture, design of the cell layouts and the various decisions made in that process to obtain optimum results, to the characterization of those cells using the Liberate tool provided by Cadence design systems Inc., is discussed in this thesis. The end results of the characterized library are used in the APR of a few open source register-transfer logic (RTL) projects and the efficiency of the library is demonstrated.Dissertation/ThesisMasters Thesis Computer Engineering 201

    Analysis of performance variation in 16nm FinFET FPGA devices

    Get PDF
    • …
    corecore