93 research outputs found

    고속 DRAM μΈν„°νŽ˜μ΄μŠ€λ₯Ό μœ„ν•œ μ „μ•• 및 μ˜¨λ„μ— λ‘”κ°ν•œ 클둝 νŒ¨μŠ€μ™€ μœ„μƒ 였λ₯˜ ꡐ정기 섀계

    Get PDF
    ν•™μœ„λ…Όλ¬Έ (박사) -- μ„œμšΈλŒ€ν•™κ΅ λŒ€ν•™μ› : κ³΅κ³ΌλŒ€ν•™ 전기·정보곡학뢀, 2021. 2. 정덕균.To cope with problems caused by the high-speed operation of the dynamic random access memory (DRAM) interface, several approaches are proposed that are focused on the clock path of the DRAM. Two delay-locked loop (DLL) based schemes, a forwarded-clock (FC) receiver (RX) with self-tracking loop and a quadrature error corrector, are proposed. Moreover, an open-loop based scheme is presented for drift compensation in the clock distribution. The open-loop scheme consumes less power consumption and reduces design complexity. The FC RX uses DLLs to compensate for voltage and temperature (VT) drift in unmatched memory interfaces. The self-tracking loop consists of two-stage cascaded DLLs to operate in a DRAM environment. With the write training and the proposed DLL, the timing relationship between the data and the sampling clock is always optimal. The proposed scheme compensates for delay drift without relying on data transitions or re-training. The proposed FC RX is fabricated in 65-nm CMOS process and has an active area containing 4 data lanes of 0.0329 mm2. After the write training is completed at the supply voltage of 1 V, the measured timing margin remains larger than 0.31-unit interval (UI) when the supply voltage drifts in the range of 0.94 V and 1.06 V from the training voltage, 1 V. At the data rate of 6.4 Gb/s, the proposed FC RX achieves an energy efficiency of 0.45 pJ/bit. Contrary to the aforementioned scheme, an open-loop-based voltage drift compensation method is proposed to minimize power consumption and occupied area. The overall clock distribution is composed of a current mode logic (CML) path and a CMOS path. In the proposed scheme, the architecture of the CML-to-CMOS converter (C2C) and the inverter is changed to compensate for supply voltage drift. The bias generator provides bias voltages to the C2C and inverters according to supply voltage for delay adjustment. The proposed clock tree is fabricated in 40 nm CMOS process and the active area is 0.004 mm2. When the supply voltage is modulated by a sinusoidal wave with 1 MHz, 100 mV peak-to-peak swing from the center of 1.1 V, applying the proposed scheme reduces the measured root-mean-square (RMS) jitter from 3.77 psRMS to 1.61 psRMS. At 6 GHz output clock, the power consumption of the proposed scheme is 11.02 mW. A DLL-based quadrature error corrector (QEC) with a wide correction range is proposed for the DRAM whose clocks are distributed over several millimeters. The quadrature error is corrected by adjusting delay lines using information from the phase error detector. The proposed error correction method minimizes increased jitter due to phase error correction by setting at least one of the delay lines in the quadrature clock path to the minimum delay. In addition, the asynchronous calibration on-off scheme reduces power consumption after calibration is complete. The proposed QEC is fabricated in 40 nm CMOS process and has an active area of 0.048 mm2. The proposed QEC exhibits a wide correctable error range of 101.6 ps and the remaining phase errors are less than 2.18Β° from 0.8 GHz to 2.3 GHz clock. At 2.3 GHz, the QEC contributes 0.53 psRMS jitter. Also, at 2.3 GHz, the power consumption is reduced from 8.89 mW to 3.39 mW when the calibration is off.λ³Έ λ…Όλ¬Έμ—μ„œλŠ” 동적 랜덀 μ•‘μ„ΈμŠ€ λ©”λͺ¨λ¦¬ (DRAM)의 속도가 증가함에 따라 클둝 νŒ¨μŠ€μ—μ„œ λ°œμƒν•  수 μžˆλŠ” λ¬Έμ œμ— λŒ€μ²˜ν•˜κΈ° μœ„ν•œ μ„Έ 가지 νšŒλ‘œλ“€μ„ μ œμ•ˆν•˜μ˜€λ‹€. μ œμ•ˆν•œ νšŒλ‘œλ“€ 쀑 두 방식듀은 지연동기루프 (delay-locked loop) 방식을 μ‚¬μš©ν•˜μ˜€κ³  λ‚˜λ¨Έμ§€ ν•œ 방식은 면적과 μ „λ ₯ μ†Œλͺ¨λ₯Ό 쀄이기 μœ„ν•΄ μ˜€ν”ˆ 루프 방식을 μ‚¬μš©ν•˜μ˜€λ‹€. DRAM의 λΉ„μ •ν•© μˆ˜μ‹ κΈ° κ΅¬μ‘°μ—μ„œ 데이터 νŒ¨μŠ€μ™€ 클둝 패슀 κ°„μ˜ 지연 뢈일치둜 인해 μ „μ•• 및 μ˜¨λ„ 변화에 따라 μ…‹μ—… νƒ€μž„ 및 ν™€λ“œ νƒ€μž„μ΄ μ€„μ–΄λ“œλŠ” 문제λ₯Ό ν•΄κ²°ν•˜κΈ° μœ„ν•΄ 지연동기루프λ₯Ό μ‚¬μš©ν•˜μ˜€λ‹€. μ œμ•ˆν•œ 지연동기루프 νšŒλ‘œλŠ” DRAM ν™˜κ²½μ—μ„œ λ™μž‘ν•˜λ„λ‘ 두 개의 μ§€μ—°λ™κΈ°λ£¨ν”„λ‘œ λ‚˜λˆ„μ—ˆλ‹€. λ˜ν•œ 초기 μ“°κΈ° ν›ˆλ ¨μ„ 톡해 데이터와 클둝을 타이밍 λ§ˆμ§„ κ΄€μ μ—μ„œ 졜적의 μœ„μΉ˜μ— λ‘˜ 수 μžˆλ‹€. λ”°λΌμ„œ μ œμ•ˆν•˜λŠ” 방식은 데이터 천이 정보가 ν•„μš”ν•˜μ§€ μ•Šλ‹€. 65-nm CMOS 곡정을 μ΄μš©ν•˜μ—¬ λ§Œλ“€μ–΄μ§„ 칩은 6.4 Gb/sμ—μ„œ 0.45 pJ/bit의 μ—λ„ˆμ§€ νš¨μœ¨μ„ 가진닀. λ˜ν•œ 1 Vμ—μ„œ μ“°κΈ° ν›ˆλ ¨ 및 지연동기루프λ₯Ό κ³ μ •μ‹œν‚€κ³  0.94 Vμ—μ„œ 1.06 VκΉŒμ§€ 곡급 전압이 λ°”λ€Œμ—ˆμ„ λ•Œ 타이밍 λ§ˆμ§„μ€ 0.31 UI보닀 큰 값을 μœ μ§€ν•˜μ˜€λ‹€. λ‹€μŒμœΌλ‘œ μ œμ•ˆν•˜λŠ” νšŒλ‘œλŠ” 클둝 뢄포 νŠΈλ¦¬μ—μ„œ μ „μ•• λ³€ν™”λ‘œ 인해 클둝 패슀의 지연이 λ‹¬λΌμ§€λŠ” 것을 μ•žμ„œ μ œμ‹œν•œ 방식과 달리 μ˜€ν”ˆ 루프 λ°©μ‹μœΌλ‘œ λ³΄μƒν•˜μ˜€λ‹€. κΈ°μ‘΄ 클둝 패슀의 인버터와 CML-to-CMOS λ³€ν™˜κΈ°μ˜ ꡬ쑰λ₯Ό λ³€κ²½ν•˜μ—¬ λ°”μ΄μ–΄μŠ€ 생성 νšŒλ‘œμ—μ„œ μƒμ„±ν•œ 곡급 전압에 따라 λ°”λ€ŒλŠ” λ°”μ΄μ–΄μŠ€ 전압을 가지고 지연을 μ‘°μ ˆν•  수 있게 ν•˜μ˜€λ‹€. 40-nm CMOS 곡정을 μ΄μš©ν•˜μ—¬ λ§Œλ“€μ–΄μ§„ 칩의 6 GHz ν΄λ‘μ—μ„œμ˜ μ „λ ₯ μ†Œλͺ¨λŠ” 11.02 mW둜 μΈ‘μ •λ˜μ—ˆλ‹€. 1.1 V μ€‘μ‹¬μœΌλ‘œ 1 MHz, 100 mV 피크 투 피크λ₯Ό κ°€μ§€λŠ” μ‚¬μΈνŒŒ μ„±λΆ„μœΌλ‘œ 곡급 전압을 λ³€μ‘°ν•˜μ˜€μ„ λ•Œ μ œμ•ˆν•œ λ°©μ‹μ—μ„œμ˜ μ§€ν„°λŠ” κΈ°μ‘΄ λ°©μ‹μ˜ 3.77 psRMSμ—μ„œ 1.61 psRMS둜 μ€„μ–΄λ“€μ—ˆλ‹€. DRAM의 솑신기 κ΅¬μ‘°μ—μ„œ 닀쀑 μœ„μƒ 클둝 κ°„μ˜ μœ„μƒ μ˜€μ°¨λŠ” μ†‘μ‹ λœ λ°μ΄ν„°μ˜ 데이터 유효 창을 κ°μ†Œμ‹œν‚¨λ‹€. 이λ₯Ό ν•΄κ²°ν•˜κΈ° μœ„ν•΄ 지연동기루프λ₯Ό λ„μž…ν•˜κ²Œ 되면 μ¦κ°€λœ μ§€μ—°μœΌλ‘œ 인해 μœ„μƒμ΄ κ΅μ •λœ ν΄λ‘μ—μ„œ 지터가 μ¦κ°€ν•œλ‹€. λ³Έ λ…Όλ¬Έμ—μ„œλŠ” μ¦κ°€λœ 지터λ₯Ό μ΅œμ†Œν™”ν•˜κΈ° μœ„ν•΄ μœ„μƒ κ΅μ •μœΌλ‘œ 인해 μ¦κ°€λœ 지연을 μ΅œμ†Œν™”ν•˜λŠ” μœ„μƒ ꡐ정 회둜λ₯Ό μ œμ‹œν•˜μ˜€λ‹€. λ˜ν•œ 유휴 μƒνƒœμ—μ„œ μ „λ ₯ μ†Œλͺ¨λ₯Ό 쀄이기 μœ„ν•΄ μœ„μƒ 였차λ₯Ό κ΅μ •ν•˜λŠ” 회둜λ₯Ό μž…λ ₯ 클둝과 λΉ„λ™κΈ°μ‹μœΌλ‘œ 끌 수 μžˆλŠ” 방법 λ˜ν•œ μ œμ•ˆν•˜μ˜€λ‹€. 40-nm CMOS 곡정을 μ΄μš©ν•˜μ—¬ λ§Œλ“€μ–΄μ§„ 칩의 μœ„μƒ ꡐ정 λ²”μœ„λŠ” 101.6 ps이고 0.8 GHz λΆ€ν„° 2.3 GHzκΉŒμ§€μ˜ λ™μž‘ 주파수 λ²”μœ„μ—μ„œ μœ„μƒ κ΅μ •κΈ°μ˜ 좜λ ₯ 클둝의 μœ„μƒ μ˜€μ°¨λŠ” 2.18°보닀 μž‘λ‹€. μ œμ•ˆν•˜λŠ” μœ„μƒ ꡐ정 회둜둜 인해 μΆ”κ°€λœ μ§€ν„°λŠ” 2.3 GHzμ—μ„œ 0.53 psRMS이고 ꡐ정 회둜λ₯Ό 껐을 λ•Œ μ „λ ₯ μ†Œλͺ¨λŠ” ꡐ정 νšŒλ‘œκ°€ μΌœμ‘Œμ„ λ•ŒμΈ 8.89 mWμ—μ„œ 3.39 mW둜 μ€„μ–΄λ“€μ—ˆλ‹€.Chapter 1 Introduction 1 1.1 Motivation 1 1.2 Thesis Organization 4 Chapter 2 Background on DRAM Interface 5 2.1 Overview 5 2.2 Memory Interface 7 Chapter 3 Background on DLL 11 3.1 Overview 11 3.2 Building Blocks 15 3.2.1 Delay Line 15 3.2.2 Phase Detector 17 3.2.3 Charge Pump 19 3.2.4 Loop filter 20 Chapter 4 Forwarded-Clock Receiver with DLL-based Self-tracking Loop for Unmatched Memory Interfaces 21 4.1 Overview 21 4.2 Proposed Separated DLL 25 4.2.1 Operation of the Proposed Separated DLL 27 4.2.2 Operation of the Digital Loop Filter in DLL 31 4.3 Circuit Implementation 33 4.4 Measurement Results 37 4.4.1 Measurement Setup and Sequence 38 4.4.2 VT Drift Measurement and Simulation 40 Chapter 5 Open-loop-based Voltage Drift Compensation in Clock Distribution 46 5.1 Overview 46 5.2 Prior Works 50 5.3 Voltage Drift Compensation Method 52 5.4 Circuit Implementation 57 5.5 Measurement Results 61 Chapter 6 Quadrature Error Corrector with Minimum Total Delay Tracking 68 6.1 Overview 68 6.2 Prior Works 70 6.3 Quadrature Error Correction Method 73 6.4 Circuit Implementation 82 6.5 Measurement Results 88 Chapter 7 Conclusion 96 Bibliography 98 초둝 102Docto

    μ €μ „λ ₯, 저면적 μœ μ„  μ†‘μˆ˜μ‹ κΈ° 섀계λ₯Ό μœ„ν•œ 회둜 기술

    Get PDF
    ν•™μœ„λ…Όλ¬Έ (박사)-- μ„œμšΈλŒ€ν•™κ΅ λŒ€ν•™μ› : 전기·컴퓨터곡학뢀, 2016. 8. 정덕균.In this thesis, novel circuit techniques for low-power and area-efficient wireline transceiver, including a phase-locked loop (PLL) based on a two-stage ring oscillator, a scalable voltage-mode transmitter, and a forwarded-clock (FC) receiver based on a delay-locked-loop (DLL) based per-pin deskew, are proposed. At first, a two-stage ring PLL that provides a four-phase, high-speed clock for a quarter-rate TX in order to minimize power consumption is presented. Several analyses and verification techniques, ranging from the clocking architectures for a high-speed TX to oscillation failures in a two-stage ring oscillator, are addressed in this thesis. A tri-state-inverter–based frequency-divider and an AC-coupled clock-buffer are used for high-speed operations with minimal power and area overheads. The proposed PLL fabricated in the 65-nm CMOS technology occupies an active area of 0.009 mm2 with an integrated-RMS-jitter of 414 fs from 10 kHz to 100 MHz while consuming 7.6 mW from a 1.2-V supply at 10 GHz. The resulting figure-of-merit is -238.8 dB, which surpasses that of the state-of-the-art ring-PLLs by 4 dB. Secondly, a voltage-mode (VM) transmitter which offers a wide operation range of 6 to 32 Gb/s, controllable pre-emphasis equalization and output voltage swing without altering output impedance, and a power supply scalability is presented. A quarter-rate clocking architecture is employed in order to maximize the scalability and energy efficiency across the variety of operating conditions. A P-over-N VM driver is used for CMOS compatibility and wide voltage-swing range required for various I/O standards. Two supply regulators calibrate the output impedance of the VM driver across the wide swing and pre-emphasis range. A single phase-locked loop is used to provide a wide frequency range of 1.5-to-8 GHz. The prototype chip is fabricated in 65-nm CMOS technology and occupies active area of 0.48x0.36 mm2. The proposed transmitter achieves 250-to-600-mV single-ended swing and exhibits the energy efficiency of 2.10-to-2.93 pJ/bit across the data rate of 6-to-32 Gb/s. And last, this thesis describes a power and area-efficient FC receiver and includes an analysis of the jitter tolerance of the FC receiver. In the proposed design, jitter tolerance is maximized according to the analysis by employing a DLL-based de-skewing. A sample-swapping bang-bang phase-detector (SS-BBPD) eliminates the stuck locking caused by the finite delay range of the voltage-controlled delay line (VCDL), and also reduces the required delay range of the VCDL by half. The proposed FC receiver is fabricated in 65-nm CMOS technology and occupies an active area of 0.025 mm2. At a data rate of 12.5 Gb/s, the proposed FC receiver exhibits an energy efficiency of 0.36 pJ/bit, and tolerates 1.4-UIpp sinusoidal jitter of 300 MHz.Chapter 1. Introduction 1 1.1. Motivation 1 1.2. Thesis organization 5 Chapter 2. Phase-Locked Loop Based on Two-Stage Ring Oscillator 7 2.1. Overivew 7 2.2. Background and Analysis of a Two-stage Ring Oscillator 11 2.3. Circuit Implementation of The Proposed PLL 25 2.4. Measurement Results 33 Chapter 3. A Scalable Voltage-Mode Transmitter 37 3.1. Overview 37 3.2. Design Considerations on a Scalable Serial Link Transmitter 40 3.3. Circuit Implementation 46 3.4. Measurement Results 56 Chapter 4. Delay-Locked Loop Based Forwarded-Clock Receiver 62 4.1. Overview 62 4.2. Timing and Data Recovery in a Serial Link 65 4.3. DLL-Based Forwarded-Clock Receiver Characteristics 70 4.4. Circuit Implementation 79 4.5. Measurement Results 89 Chapter 5. Conclusion 94 Appendix 96 Appendix A. Design flow to optimize a high-speed ring oscillator 96 Appendix B. Reflection Issues in N-over-N Voltage-Mode Driver 99 Appendix C. Analysis on output swing and power consumption of the P-over-N voltage-mode driver 107 Appendix D. Loop Dynamics of DLL 112 Bibliography 121 Abstract 128Docto

    Models predicting the performance of IC component or PCB channel during electromagnetic interference

    Get PDF
    This dissertation is composed of three papers, which cover the prediction of the characteristics of jitter due to crosstalk and due to simultaneous switching noise, and covers susceptibility of delay locked loop (DLL) to electromagnetic interference. In the first paper, an improved tail-fit de-convolution method is proposed for characterizing the impact of deterministic jitter in the presence of random jitter. A Wiener filter de-convolution method is also presented for extracting the characteristics of crosstalk induced jitter from measurements of total jitter made when the crosstalk sources were and were not present. The proposed techniques are shown to work well both in simulations and in measurements of a high-speed link. In the second paper, methods are developed to predict the statistical distribution of timing jitter due to dynamic currents drawn by an integrated circuit (IC) and the resulting power supply noise on the PCB. Distribution of dynamic currents is found through vectorless methods. Results demonstrate the approach can rapidly determine the average and standard deviation of the power supply noise voltage and the peak jitter within 5~15% error, which is more than sufficient for predicting the performance impact on integrated circuits. In the third paper, a model is developed to predict the susceptibility of a DLL to electromagnetic noise on the power supply. With the proposed analytical noise transfer function, peak to peak jitter and cycle to cycle jitter at the DLL output can be estimated, which can be use to predict when soft failures will occur and to better understand how to fix these failures. Simulation and measurement results demonstrate the accuracy of the DLL delay model. --Abstract, page iv

    Deliverable D4.1: VLC modulation schemes

    Get PDF
    This report presents the analysis of different modulation schemes D4.1 for VLC systems of the VIDAS project. Considering the final prototype design and application, the deliverable D4.1 was projected. The detail analysis of various modulation schemes are carried out and a robust technique based on direct sequence spread spectrum (DSSS) is followed. DSSS technique though necessitates use of high bandwidth while minimizing the effect of noise. Since the final application does not require very high dat a rate of transmission but robustness against the noise (external lights) becomes necessary. The analysis is followed by model development using Matlab/Simulink. The performance of both of these systems are compared and evaluated. Some of the simulation results are presented

    Precise Timing of Digital Signals: Circuits and Applications

    Get PDF
    With the rapid advances in process technologies, the performance of state-of-the-art integrated circuits is improving steadily. The drive for higher performance is accompanied with increased emphasis on meeting timing constraints not only at the design phase but during device operation as well. Fortunately, technology advancements allow for even more precise control of the timing of digital signals, an advantage which can be used to provide solutions that can address some of the emerging timing issues. In this thesis, circuit and architectural techniques for the precise timing of digital signals are explored. These techniques are demonstrated in applications addressing timing issues in modern digital systems. A methodology for slow-speed timing characterization of high-speed pipelined datapaths is proposed. The technique uses a clock-timing circuit to create shifted versions of a slow-speed clock. These clocks control the data flow in the pipeline in the test mode. Test results show that the design provides an average timing resolution of 52.9ps in 0.18ΞΌm CMOS technology. Results also demonstrate the ability of the technique to track the performance of high-speed pipelines at a reduced clock frequency and to test the clock-timing circuit itself. In order to achieve higher resolutions than that of an inverter/buffer stage, a differential (vernier) delay line is commonly used. To allow for the design of differential delay lines with programmable delays, a digitally-controlled delay-element is proposed. The delay element is monotonic and achieves a high degree of transfer characteristics' (digital code vs. delay) linearity. Using the proposed delay element, a sub-1ps resolution is demonstrated experimentally in 0.18ΞΌm CMOS. The proposed delay element with a fixed delay step of 2ps is used to design a high-precision all-digital phase aligner. High-precision phase alignment has many applications in modern digital systems such as high-speed memory controllers, clock-deskew buffers, and delay and phase-locked loops. The design is based on a differential delay line and a variation tolerant phase detector using redundancy. Experimental results show that the phase aligner's range is from -264ps to +247ps which corresponds to an average delay step of approximately 2.43ps. For various input phase difference values, test results show that the difference is reduced to less than 2ps at the output of the phase aligner. On-chip time measurement is another application that requires precise timing. It has applications in modern automatic test equipment and on-chip characterization of jitter and skew. In order to achieve small conversion time, a flash time-to-digital converter is proposed. Mismatch between the various delay comparators limits the time measurement precision. This is demonstrated through an experiment in which a 6-bit, 2.5ps resolution flash time-to-digital converter provides an effective resolution of only 4-bits. The converter achieves a maximum conversion rate of 1.25GSa/s

    Sub-Picosecond Jitter Clock Generation for Time Interleaved Analog to Digital Converter

    Get PDF
    Nowadays, Multi-GHz analog-to-digital converters (ADCs) are becoming more and more popular in radar systems, software-defined radio (SDR) and wideband communications, because they can realize much higher operation speed through using many interleaved sub-ADCs to relax ADC sampling rates. Although the time interleaved ADC has some issues such as gain mismatch, offset mismatch and timing skew between each ADC channel, these deterministic errors can be solved by previous works such as digital calibration technique. However, time-interleaved ADCs require a precise sample clock to achieve an acceptable effective-numberof-bits (ENOB) which can be degraded by jitter in the sample clock. The clock generation circuits presented in this work achieves sub-picosecond jitter performance in 180nm CMOS which is suitable for time-interleaved ADC. Two different test chips were fabricated in 180nm CMOS to investigate the low jitter design technique. The low jitter delay line in two chips were designed in two different ways, but both of them utilized the low jitter design technique. In first test chip, the measured RMS jitter is 0.1061ps for each delay stage. The second chip uses the proposed low jitter Delay-Locked Loop can work from 80MHz to 120MHz, which means it can provide the time interleaved ADC with 2.4GHz to 3.6GHz low jitter sample clock, the measured delay stage jitter performance in second test chip is 0.1085ps

    Automated data acquisition technology development:Automated modeling and control development

    Get PDF
    This report documents the completion of, and improvements made to, the software developed for automated data acquisition and automated modeling and control development on the Texas Micro rackmounted PC's. This research was initiated because a need was identified by the Metal Processing Branch of NASA Marshall Space Flight Center for a mobile data acquisition and data analysis system, customized for welding measurement and calibration. Several hardware configurations were evaluated and a PC based system was chosen. The Welding Measurement System (WMS), is a dedicated instrument strickly for use of data acquisition and data analysis. In addition to the data acquisition functions described in this thesis, WMS also supports many functions associated with process control. The hardware and software requirements for an automated acquisition system for welding process parameters, welding equipment checkout, and welding process modeling were determined in 1992. From these recommendations, NASA purchased the necessary hardware and software. The new welding acquisition system is designed to collect welding parameter data and perform analysis to determine the voltage versus current arc-length relationship for VPPA welding. Once the results of this analysis are obtained, they can then be used to develop a RAIL function to control welding startup and shutdown without torch crashing
    • …
    corecore