769 research outputs found

    Cross-layer design of thermally-aware 2.5D systems

    Full text link
    Over the past decade, CMOS technology scaling has slowed down. To sustain the historic performance improvement predicted by Moore's Law, in the mid-2000s the computing industry moved to using manycore systems and exploiting parallelism. The on-chip power densities of manycore systems, however, continued to increase after the breakdown of Dennard's Scaling. This leads to the `dark silicon' problem, whereby not all cores can operate at the highest frequency or can be turned on simultaneously due to thermal constraints. As a result, we have not been able to take full advantage of the parallelism in manycore systems. One of the 'More than Moore' approaches that is being explored to address this problem is integration of diverse functional components onto a substrate using 2.5D integration technology. 2.5D integration provides opportunities to exploit chiplet placement flexibility to address the dark silicon problem and mitigate the thermal stress of today's high-performance systems. These opportunities can be leveraged to improve the overall performance of the manycore heterogeneous computing systems. Broadly, this thesis aims at designing thermally-aware 2.5D systems. More specifically, to address the dark silicon problem of manycore systems, we first propose a single-layer thermally-aware chiplet organization methodology for homogeneous 2.5D systems. The key idea is to strategically insert spacing between the chiplets of a 2.5D manycore system to lower the operating temperature, and thus reclaim dark silicon by allowing more active cores and/or higher operating frequency under a temperature threshold. We investigate manufacturing cost and thermal behavior of 2.5D systems, then formulate and solve an optimization problem that jointly maximizes performance and minimizes manufacturing cost. We then enhance our methodology by incorporating a cross-layer co-optimization approach. We jointly maximize performance and minimize manufacturing cost and operating temperature across logical, physical, and circuit layers. We propose a novel gas-station link design that enables pipelining in passive interposers. We then extend our thermally-aware optimization methodology for network routing and chiplet placement of heterogeneous 2.5D systems, which consist of central processing unit (CPU) chiplets, graphics processing unit (GPU) chiplets, accelerator chiplets, and/or memory stacks. We jointly minimize the total wirelength and the system temperature. Our enhanced methodology increases the thermal design power budget and thereby improves thermal-constraint performance of the system

    Integrated Photonic Reservoir Computing with All-Optical Readout

    Full text link
    Integrated photonic reservoir computing has been demonstrated to be able to tackle different problems because of its neural network nature. A key advantage of photonic reservoir computing over other neuromorphic paradigms is its straightforward readout system, which facilitates both rapid training and robust, fabrication variation-insensitive photonic integrated hardware implementation for real-time processing. We present our recent development of a fully-optical, coherent photonic reservoir chip integrated with an optical readout system, capitalizing on these benefits. Alongside the integrated system, we also demonstrate a weight update strategy that is suitable for the integrated optical readout hardware. Using this online training scheme, we successfully solved 3-bit header recognition and delayed XOR tasks at 20 Gbps in real-time, all within the optical domain without excess delays

    Principles, fundamentals, and applications of programmable integrated photonics

    Full text link
    [EN] Programmable integrated photonics is an emerging new paradigm that aims at designing common integrated optical hardware resource configurations, capable of implementing an unconstrained variety of functionalities by suitable programming, following a parallel but not identical path to that of integrated electronics in the past two decades of the last century. Programmable integrated photonics is raising considerable interest, as it is driven by the surge of a considerable number of new applications in the fields of telecommunications, quantum information processing, sensing, and neurophotonics, calling for flexible, reconfigurable, low-cost, compact, and low-power-consuming devices that can cooperate with integrated electronic devices to overcome the limitation expected by the demise of Moore¿s Law. Integrated photonic devices exploiting full programmability are expected to scale from application-specific photonic chips (featuring a relatively low number of functionalities) up to very complex application-agnostic complex subsystems much in the same way as field programmable gate arrays and microprocessors operate in electronics. Two main differences need to be considered. First, as opposed to integrated electronics, programmable integrated photonics will carry analog operations over the signals to be processed. Second, the scale of integration density will be several orders of magnitude smaller due to the physical limitations imposed by the wavelength ratio of electrons and light wave photons. The success of programmable integrated photonics will depend on leveraging the properties of integrated photonic devices and, in particular, on research into suitable interconnection hardware architectures that can offer a very high spatial regularity as well as the possibility of independently setting (with a very low power consumption) the interconnection state of each connecting element. Integrated multiport interferometers and waveguide meshes provide regular and periodic geometries, formed by replicating unit elements and cells, respectively. In the case of waveguide meshes, the cells can take the form of a square, hexagon, or triangle, among other configurations. Each side of the cell is formed by two integrated waveguides connected by means of a Mach¿Zehnder interferometer or a tunable directional coupler that can be operated by means of an output control signal as a crossbar switch or as a variable coupler with independent power division ratio and phase shift. In this paper, we provide the basic foundations and principles behind the construction of these complex programmable circuits. We also review some practical aspects that limit the programming and scalability of programmable integrated photonics and provide an overview of some of the most salient applications demonstrated so far.European Research Council; Conselleria d'Educació, Investigació, Cultura i Esport; Ministerio de Ciencia, Innovación y Universidades; European Cooperation in Science and Technology; Horizon 2020 Framework Programme.Pérez-López, D.; Gasulla Mestre, I.; Dasmahapatra, P.; Capmany Francoy, J. (2020). Principles, fundamentals, and applications of programmable integrated photonics. Advances in Optics and Photonics. 12(3):709-786. https://doi.org/10.1364/AOP.387155709786123Lyke, J. C., Christodoulou, C. G., Vera, G. A., & Edwards, A. H. (2015). An Introduction to Reconfigurable Systems. Proceedings of the IEEE, 103(3), 291-317. doi:10.1109/jproc.2015.2397832Kaeslin, H. (2008). Digital Integrated Circuit Design. doi:10.1017/cbo9780511805172Trimberger, S. M. (2015). Three Ages of FPGAs: A Retrospective on the First Thirty Years of FPGA Technology. Proceedings of the IEEE, 103(3), 318-331. doi:10.1109/jproc.2015.2392104Mitola, J. (1995). The software radio architecture. IEEE Communications Magazine, 33(5), 26-38. doi:10.1109/35.393001Nunes, B. A. A., Mendonca, M., Nguyen, X.-N., Obraczka, K., & Turletti, T. (2014). A Survey of Software-Defined Networking: Past, Present, and Future of Programmable Networks. IEEE Communications Surveys & Tutorials, 16(3), 1617-1634. doi:10.1109/surv.2014.012214.00180Papagianni, C., Leivadeas, A., Papavassiliou, S., Maglaris, V., Cervello-Pastor, C., & Monje, A. (2013). On the optimal allocation of virtual resources in cloud computing networks. IEEE Transactions on Computers, 62(6), 1060-1071. doi:10.1109/tc.2013.31Peruzzo, A., Laing, A., Politi, A., Rudolph, T., & O’Brien, J. L. (2011). Multimode quantum interference of photons in multiport integrated devices. Nature Communications, 2(1). doi:10.1038/ncomms1228Metcalf, B. J., Thomas-Peter, N., Spring, J. B., Kundys, D., Broome, M. A., Humphreys, P. C., … Walmsley, I. A. (2013). Multiphoton quantum interference in a multiport integrated photonic device. Nature Communications, 4(1). doi:10.1038/ncomms2349Miller, D. A. B. (2013). Self-aligning universal beam coupler. Optics Express, 21(5), 6360. doi:10.1364/oe.21.006360Miller, D. A. B. (2013). Self-configuring universal linear optical component [Invited]. Photonics Research, 1(1), 1. doi:10.1364/prj.1.000001Carolan, J., Harrold, C., Sparrow, C., Martín-López, E., Russell, N. J., Silverstone, J. W., … Laing, A. (2015). Universal linear optics. Science, 349(6249), 711-716. doi:10.1126/science.aab3642Harris, N. C., Steinbrecher, G. R., Prabhu, M., Lahini, Y., Mower, J., Bunandar, D., … Englund, D. (2017). Quantum transport simulations in a programmable nanophotonic processor. Nature Photonics, 11(7), 447-452. doi:10.1038/nphoton.2017.95Birth of the programmable optical chip. (2015). Nature Photonics, 10(1), 1-1. doi:10.1038/nphoton.2015.265Zhuang, L., Roeloffzen, C. G. H., Hoekman, M., Boller, K.-J., & Lowery, A. J. (2015). Programmable photonic signal processor chip for radiofrequency applications. Optica, 2(10), 854. doi:10.1364/optica.2.000854Pérez, D., Gasulla, I., Capmany, J., & Soref, R. A. (2016). Reconfigurable lattice mesh designs for programmable photonic processors. Optics Express, 24(11), 12093. doi:10.1364/oe.24.012093Capmany, J., Gasulla, I., & Pérez, D. (2015). The programmable processor. Nature Photonics, 10(1), 6-8. doi:10.1038/nphoton.2015.254Pérez, D., Gasulla, I., Crudgington, L., Thomson, D. J., Khokhar, A. Z., Li, K., … Capmany, J. (2017). Multipurpose silicon photonics signal processor core. Nature Communications, 8(1). doi:10.1038/s41467-017-00714-1Clements, W. R., Humphreys, P. C., Metcalf, B. J., Kolthammer, W. S., & Walsmley, I. A. (2016). Optimal design for universal multiport interferometers. Optica, 3(12), 1460. doi:10.1364/optica.3.001460Perez, D., Gasulla, I., Fraile, F. J., Crudgington, L., Thomson, D. J., Khokhar, A. Z., … Capmany, J. (2017). Silicon Photonics Rectangular Universal Interferometer. Laser & Photonics Reviews, 11(6), 1700219. doi:10.1002/lpor.201700219Shen, Y., Harris, N. C., Skirlo, S., Prabhu, M., Baehr-Jones, T., Hochberg, M., … Soljačić, M. (2017). Deep learning with coherent nanophotonic circuits. Nature Photonics, 11(7), 441-446. doi:10.1038/nphoton.2017.93Ribeiro, A., Ruocco, A., Vanacker, L., & Bogaerts, W. (2016). Demonstration of a 4 × 4-port universal linear circuit. Optica, 3(12), 1348. doi:10.1364/optica.3.001348Annoni, A., Guglielmi, E., Carminati, M., Ferrari, G., Sampietro, M., Miller, D. A., … Morichetti, F. (2017). Unscrambling light—automatically undoing strong mixing between modes. Light: Science & Applications, 6(12), e17110-e17110. doi:10.1038/lsa.2017.110Perez, D., Gasulla, I., & Capmany, J. (2018). Toward Programmable Microwave Photonics Processors. Journal of Lightwave Technology, 36(2), 519-532. doi:10.1109/jlt.2017.2778741Chen, L., Hall, E., Theogarajan, L., & Bowers, J. (2011). Photonic Switching for Data Center Applications. IEEE Photonics Journal, 3(5), 834-844. doi:10.1109/jphot.2011.2166994Miller, D. A. B. (2017). Meshing optics with applications. Nature Photonics, 11(7), 403-404. doi:10.1038/nphoton.2017.104Thomas-Peter, N., Langford, N. K., Datta, A., Zhang, L., Smith, B. J., Spring, J. B., … Walmsley, I. A. (2011). Integrated photonic sensing. New Journal of Physics, 13(5), 055024. doi:10.1088/1367-2630/13/5/055024Smit, M., Leijtens, X., Ambrosius, H., Bente, E., van der Tol, J., Smalbrugge, B., … van Veldhoven, R. (2014). An introduction to InP-based generic integration technology. Semiconductor Science and Technology, 29(8), 083001. doi:10.1088/0268-1242/29/8/083001Coldren, L. A., Nicholes, S. C., Johansson, L., Ristic, S., Guzzon, R. S., Norberg, E. J., & Krishnamachari, U. (2011). High Performance InP-Based Photonic ICs—A Tutorial. Journal of Lightwave Technology, 29(4), 554-570. doi:10.1109/jlt.2010.2100807Kish, F., Nagarajan, R., Welch, D., Evans, P., Rossi, J., Pleumeekers, J., … Joyner, C. (2013). From Visible Light-Emitting Diodes to Large-Scale III–V Photonic Integrated Circuits. Proceedings of the IEEE, 101(10), 2255-2270. doi:10.1109/jproc.2013.2275018Hochberg, M., & Baehr-Jones, T. (2010). Towards fabless silicon photonics. Nature Photonics, 4(8), 492-494. doi:10.1038/nphoton.2010.172Bogaerts, W., Fiers, M., & Dumon, P. (2014). Design Challenges in Silicon Photonics. IEEE Journal of Selected Topics in Quantum Electronics, 20(4), 1-8. doi:10.1109/jstqe.2013.2295882Soref, R. (2006). The Past, Present, and Future of Silicon Photonics. IEEE Journal of Selected Topics in Quantum Electronics, 12(6), 1678-1687. doi:10.1109/jstqe.2006.883151Chrostowski, L., & Hochberg, M. (2015). Silicon Photonics Design. doi:10.1017/cbo9781316084168Heck, M. J. R., Bauters, J. F., Davenport, M. L., Doylend, J. K., Jain, S., Kurczveil, G., … Bowers, J. E. (2013). Hybrid Silicon Photonic Integrated Circuit Technology. IEEE Journal of Selected Topics in Quantum Electronics, 19(4), 6100117-6100117. doi:10.1109/jstqe.2012.2235413Keyvaninia, S., Muneeb, M., Stanković, S., Van Veldhoven, P. J., Van Thourhout, D., & Roelkens, G. (2012). Ultra-thin DVS-BCB adhesive bonding of III-V wafers, dies and multiple dies to a patterned silicon-on-insulator substrate. Optical Materials Express, 3(1), 35. doi:10.1364/ome.3.000035Heideman, R., Hoekman, M., & Schreuder, E. (2012). TriPleX-Based Integrated Optical Ring Resonators for Lab-on-a-Chip and Environmental Detection. IEEE Journal of Selected Topics in Quantum Electronics, 18(5), 1583-1596. doi:10.1109/jstqe.2012.2188382Roeloffzen, C. G. H., Zhuang, L., Taddei, C., Leinse, A., Heideman, R. G., van Dijk, P. W. L., … Boller, K.-J. (2013). Silicon nitride microwave photonic circuits. Optics Express, 21(19), 22937. doi:10.1364/oe.21.022937Corbett, B., Loi, R., Zhou, W., Liu, D., & Ma, Z. (2017). Transfer print techniques for heterogeneous integration of photonic components. Progress in Quantum Electronics, 52, 1-17. doi:10.1016/j.pquantelec.2017.01.001Van der Tol, J. J. G. M., Jiao, Y., Shen, L., Millan-Mejia, A., Pogoretskii, V., van Engelen, J. P., & Smit, M. K. (2018). Indium Phosphide Integrated Photonics in Membranes. IEEE Journal of Selected Topics in Quantum Electronics, 24(1), 1-9. doi:10.1109/jstqe.2017.2772786Bachmann, M., Besse, P. A., & Melchior, H. (1994). General self-imaging properties in N × N multimode interference couplers including phase relations. Applied Optics, 33(18), 3905. doi:10.1364/ao.33.003905Soldano, L. B., & Pennings, E. C. M. (1995). Optical multi-mode interference devices based on self-imaging: principles and applications. Journal of Lightwave Technology, 13(4), 615-627. doi:10.1109/50.372474Madsen, C. K., & Zhao, J. H. (1999). Optical Filter Design and Analysis. Wiley Series in Microwave and Optical Engineering. doi:10.1002/0471213756Desurvire, E. (2009). Classical and Quantum Information Theory. doi:10.1017/cbo9780511803758Knill, E., Laflamme, R., & Milburn, G. J. (2001). A scheme for efficient quantum computation with linear optics. Nature, 409(6816), 46-52. doi:10.1038/35051009Capmany, J., & Pérez, D. (2020). Programmable Integrated Photonics. doi:10.1093/oso/9780198844402.001.0001Spagnolo, N., Vitelli, C., Bentivegna, M., Brod, D. J., Crespi, A., Flamini, F., … Sciarrino, F. (2014). Experimental validation of photonic boson sampling. Nature Photonics, 8(8), 615-620. doi:10.1038/nphoton.2014.135Mennea, P. L., Clements, W. R., Smith, D. H., Gates, J. C., Metcalf, B. J., Bannerman, R. H. S., … Smith, P. G. R. (2018). Modular linear optical circuits. Optica, 5(9), 1087. doi:10.1364/optica.5.001087Perez-Lopez, D., Sanchez, E., & Capmany, J. (2018). Programmable True Time Delay Lines Using Integrated Waveguide Meshes. Journal of Lightwave Technology, 36(19), 4591-4601. doi:10.1109/jlt.2018.2831008Pérez-López, D., Gutierrez, A. M., Sánchez, E., DasMahapatra, P., & Capmany, J. (2019). Integrated photonic tunable basic units using dual-drive directional couplers. Optics Express, 27(26), 38071. doi:10.1364/oe.27.038071Jinguji, K., & Kawachi, M. (1995). Synthesis of coherent two-port lattice-form optical delay-line circuit. Journal of Lightwave Technology, 13(1), 73-82. doi:10.1109/50.350643Mookherjea, S., & Yariv, A. (2002). Coupled resonator optical waveguides. IEEE Journal of Selected Topics in Quantum Electronics, 8(3), 448-456. doi:10.1109/jstqe.2002.1016347Heebner, J. E., Chak, P., Pereira, S., Sipe, J. E., & Boyd, R. W. (2004). Distributed and localized feedback in microresonator sequences for linear and nonlinear optics. Journal of the Optical Society of America B, 21(10), 1818. doi:10.1364/josab.21.001818Fandiño, J. S., Muñoz, P., Doménech, D., & Capmany, J. (2016). A monolithic integrated photonic microwave filter. Nature Photonics, 11(2), 124-129. doi:10.1038/nphoton.2016.233Miller, D. A. B. (2012). All linear optical devices are mode converters. Optics Express, 20(21), 23985. doi:10.1364/oe.20.023985Brown, S. D., Francis, R. J., Rose, J., & Vranesic, Z. G. (1992). Field-Programmable Gate Arrays. doi:10.1007/978-1-4615-3572-0Lee, E. K. F., & Gulak, P. G. (1992). Field programmable analogue array based on MOSFET transconductors. Electronics Letters, 28(1), 28-29. doi:10.1049/el:19920017Lee, E. K. F., & Gulak, P. G. (s. f.). A transconductor-based field-programmable analog array. Proceedings ISSCC ’95 - International Solid-State Circuits Conference. doi:10.1109/isscc.1995.535521Pérez, D., Gasulla, I., & Capmany, J. (2018). Field-programmable photonic arrays. Optics Express, 26(21), 27265. doi:10.1364/oe.26.027265Zheng, D., Doménech, J. D., Pan, W., Zou, X., Yan, L., & Pérez, D. (2019). Low-loss broadband 5  ×  5 non-blocking Si3N4 optical switch matrix. Optics Letters, 44(11), 2629. doi:10.1364/ol.44.002629Densmore, A., Janz, S., Ma, R., Schmid, J. H., Xu, D.-X., Delâge, A., … Cheben, P. (2009). Compact and low power thermo-optic switch using folded silicon waveguides. Optics Express, 17(13), 10457. doi:10.1364/oe.17.010457Song, M., Long, C. M., Wu, R., Seo, D., Leaird, D. E., & Weiner, A. M. (2011). Reconfigurable and Tunable Flat-Top Microwave Photonic Filters Utilizing Optical Frequency Combs. IEEE Photonics Technology Letters, 23(21), 1618-1620. doi:10.1109/lpt.2011.2165209Rudé, M., Pello, J., Simpson, R. E., Osmond, J., Roelkens, G., van der Tol, J. J. G. M., & Pruneri, V. (2013). Optical switching at 1.55 μm in silicon racetrack resonators using phase change materials. Applied Physics Letters, 103(14), 141119. doi:10.1063/1.4824714Zheng, J., Khanolkar, A., Xu, P., Colburn, S., Deshmukh, S., Myers, J., … Majumdar, A. (2018). GST-on-silicon hybrid nanophotonic integrated circuits: a non-volatile quasi-continuously reprogrammable platform. Optical Materials Express, 8(6), 1551. doi:10.1364/ome.8.001551Edinger, P., Errando-Herranz, C., & Gylfason, K. B. (2019). Low-Loss MEMS Phase Shifter for Large Scale Reconfigurable Silicon Photonics. 2019 IEEE 32nd International Conference on Micro Electro Mechanical Systems (MEMS). doi:10.1109/memsys.2019.8870616Carroll, L., Lee, J.-S., Scarcella, C., Gradkowski, K., Duperron, M., Lu, H., … O’Brien, P. (2016). Photonic Packaging: Transforming Silicon Photonic Integrated Circuits into Photonic Devices. Applied Sciences, 6(12), 426. doi:10.3390/app6120426Bahadori, M., Gazman, A., Janosik, N., Rumley, S., Zhu, Z., Polster, R., … Bergman, K. (2018). Thermal Rectification of Integrated Microheaters for Microring Resonators in Silicon Photonics Platform. Journal of Lightwave Technology, 36(3), 773-788. doi:10.1109/jlt.2017.2781131Cocorullo, G., Della Corte, F. G., Rendina, I., & Sarro, P. M. (1998). Thermo-optic effect exploitation in silicon microstructures. Sensors and Actuators A: Physical, 71(1-2), 19-26. doi:10.1016/s0924-4247(98)00168-xZecevic, N., Hofbauer, M., & Zimmermann, H. (2015). Integrated Pulsewidth Modulation Control for a Scalable Optical Switch Matrix. IEEE Photonics Journal, 7(6), 1-7. doi:10.1109/jphot.2015.2506153Seok, T. J., Quack, N., Han, S., & Wu, M. C. (2015). 50×50 Digital Silicon Photonic Switches with MEMS-Actuated Adiabatic Couplers. Optical Fiber Communication Conference. doi:10.1364/ofc.2015.m2b.4Zortman, W. A., Trotter, D. C., & Watts, M. R. (2010). Silicon photonics manufacturing. Optics Express, 18(23), 23598. doi:10.1364/oe.18.023598Mower, J., Harris, N. C., Steinbrecher, G. R., Lahini, Y., & Englund, D. (2015). High-fidelity quantum state evolution in imperfect photonic integrated circuits. Physical Review A, 92(3). doi:10.1103/physreva.92.032322Pérez, D., & Capmany, J. (2019). Scalable analysis for arbitrary photonic integrated waveguide meshes. Optica, 6(1), 19. doi:10.1364/optica.6.000019Oton, C. J., Manganelli, C., Bontempi, F., Fournier, M., Fowler, D., & Kopp, C. (2016). Silicon photonic waveguide metrology using Mach-Zehnder interferometers. Optics Express, 24(6), 6265. doi:10.1364/oe.24.006265Chen, X., & Bogaerts, W. (2019). A Graph-based Design and Programming Strategy for Reconfigurable Photonic Circuits. 2019 IEEE Photonics Society Summer Topical Meeting Series (SUM). doi:10.1109/phosst.2019.8795068Zibar, D., Wymeersch, H., & Lyubomirsky, I. (2017). Machine learning under the spotlight. Nature Photonics, 11(12), 749-751. doi:10.1038/s41566-017-0058-3Lopez, D. P. (2020). Programmable Integrated Silicon Photonics Waveguide Meshes: Optimized Designs and Control Algorithms. IEEE Journal of Selected Topics in Quantum Electronics, 26(2), 1-12. doi:10.1109/jstqe.2019.2948048Harris, N. C., Bunandar, D., Pant, M., Steinbrecher, G. R., Mower, J., Prabhu, M., … Englund, D. (2016). Large-scale quantum photonic circuits in silicon. Nanophotonics, 5(3), 456-468. doi:10.1515/nanoph-2015-0146Spring, J. B., Metcalf, B. J., Humphreys, P. C., Kolthammer, W. S., Jin, X.-M., Barbieri, M., … Walmsley, I. A. (2012). Boson Sampling on a Photonic Chip. Science, 339(6121), 798-801. doi:10.1126/science.1231692O’Brien, J. L., Furusawa, A., & Vučković, J. (2009). Photonic quantum technologies. Nature Photonics, 3(12), 687-695. doi:10.1038/nphoton.2009.229Kok, P., Munro, W. J., Nemoto, K., Ralph, T. C., Dowling, J. P., & Milburn, G. J. (2007). Linear optical quantum computing with photonic qubits. Reviews of Modern Physics, 79(1), 135-174. doi:10.1103/revmodphys.79.135Politi, A., Cryan, M. J., Rarity, J. G., Yu, S., & O’Brien, J. L. (2008). Silica-on-Silicon Waveguide Quantum Circuits. Science, 320(5876), 646-649. doi:10.1126/science.1155441Politi, A., Matthews, J., Thompson, M. G., & O’Brien, J. L. (2009). Integrated Quantum Photonics. IEEE Journal of Selected Topics in Quantum Electronics, 15(6), 1673-1684. doi:10.1109/jstqe.2009.2026060Thompson, M. G., Politi, A., Matthews, J. C. F., & O’Brien, J. L. (2011). Integrated waveguide circuits for optical quantum computing. IET Circuits, Devices & Systems, 5(2), 94. doi:10.1049/iet-cds.2010.0108Silverstone, J. W., Bonneau, D., O’Brien, J. L., & Thompson, M. G. (2016). Silicon Quantum Photonics. IEEE Journal of Selected Topics in Quantum Electronics, 22(6), 390-402. doi:10.1109/jstqe.2016.2573218Poot, M., Schuck, C., Ma, X., Guo, X., & Tang, H. X. (2016). Design and characterization of integrated components for SiN photonic quantum circuits. Optics Express, 24(7), 6843. doi:10.1364/oe.24.006843Saleh, M. F., Di Giuseppe, G., Saleh, B. E. A., & Teich, M. C. (2010). Modal and polarization qubits in Ti:LiNbO_3 photonic circuits for a universal quantum logic gate. Optics Express, 18(19), 20475. doi:10.1364/oe.18.020475Harris, N. C., Carolan, J., Bunandar, D., Prabhu, M., Hochberg, M., Baehr-Jones, T., … Englund, D. (2018). Linear programmable nanophotonic processors. Optica, 5(12), 1623. doi:10.1364/optica.5.001623Qiang, X., Zhou, X., Wang, J., Wilkes, C. M., Loke, T., O’Gara, S., … Matthews, J. C. F. (2018). Large-scale silicon quantum photonics implementing arbitrary two-qubit processing. Nature Photonics, 12(9), 534-539. doi:10.1038/s41566-018-0236-yLee, B. G., & Dupuis, N. (2019). Silicon Photonic Switch Fabrics: Technology and Architecture. Journal of Lightwave Technology, 37(1), 6-20. doi:10.1109/jlt.2018.2876828Cheng, Q., Rumley, S., Bahadori, M., & Bergman, K. (2018). Photonic switching in high performance datacenters [Invited]. Optics Express, 26(12), 16022. doi:10.1364/oe.26.016022Wonfor, A., Wang, H., Penty, R. V., & White, I. H. (2011). Large Port Count High-Speed Optical Switch Fabric for Use Within Datacenters [Invited]. Journal of Optical Communications and Networking, 3(8), A32. doi:10.1364/jocn.3.000a32Hamamoto, K., Anan, T., Komatsu, K., Sugimoto, M., & Mito, I. (1992). First 8×8 semiconductor optical matrix switches using GaAs/AlGaAs electro-optic guided-wave directional couplers. Electronics Letters, 28(5), 441. doi:10.1049/el:19920278Van Campenhout, J., Green, W. M., Assefa, S., & Vlasov, Y. A. (2009). Low-power, 2×2 silicon electro-optic switch with 110-nm bandwidth for broadband reconfigurable optical networks. Optics Express, 17(26), 24020. doi:10.1364/oe.17.024020Dupuis, N., Lee, B. G., Rylyakov, A. V., Kuchta, D. M., Baks, C. W., Orcutt, J. S., … Schow, C. L. (2015). D

    Memory and information processing in neuromorphic systems

    Full text link
    A striking difference between brain-inspired neuromorphic processors and current von Neumann processors architectures is the way in which memory and processing is organized. As Information and Communication Technologies continue to address the need for increased computational power through the increase of cores within a digital processor, neuromorphic engineers and scientists can complement this need by building processor architectures where memory is distributed with the processing. In this paper we present a survey of brain-inspired processor architectures that support models of cortical networks and deep neural networks. These architectures range from serial clocked implementations of multi-neuron systems to massively parallel asynchronous ones and from purely digital systems to mixed analog/digital systems which implement more biological-like models of neurons and synapses together with a suite of adaptation and learning mechanisms analogous to the ones found in biological nervous systems. We describe the advantages of the different approaches being pursued and present the challenges that need to be addressed for building artificial neural processing systems that can display the richness of behaviors seen in biological systems.Comment: Submitted to Proceedings of IEEE, review of recently proposed neuromorphic computing platforms and system

    Decompose and Conquer: Addressing Evasive Errors in Systems on Chip

    Full text link
    Modern computer chips comprise many components, including microprocessor cores, memory modules, on-chip networks, and accelerators. Such system-on-chip (SoC) designs are deployed in a variety of computing devices: from internet-of-things, to smartphones, to personal computers, to data centers. In this dissertation, we discuss evasive errors in SoC designs and how these errors can be addressed efficiently. In particular, we focus on two types of errors: design bugs and permanent faults. Design bugs originate from the limited amount of time allowed for design verification and validation. Thus, they are often found in functional features that are rarely activated. Complete functional verification, which can eliminate design bugs, is extremely time-consuming, thus impractical in modern complex SoC designs. Permanent faults are caused by failures of fragile transistors in nano-scale semiconductor manufacturing processes. Indeed, weak transistors may wear out unexpectedly within the lifespan of the design. Hardware structures that reduce the occurrence of permanent faults incur significant silicon area or performance overheads, thus they are infeasible for most cost-sensitive SoC designs. To tackle and overcome these evasive errors efficiently, we propose to leverage the principle of decomposition to lower the complexity of the software analysis or the hardware structures involved. To this end, we present several decomposition techniques, specific to major SoC components. We first focus on microprocessor cores, by presenting a lightweight bug-masking analysis that decomposes a program into individual instructions to identify if a design bug would be masked by the program's execution. We then move to memory subsystems: there, we offer an efficient memory consistency testing framework to detect buggy memory-ordering behaviors, which decomposes the memory-ordering graph into small components based on incremental differences. We also propose a microarchitectural patching solution for memory subsystem bugs, which augments each core node with a small distributed programmable logic, instead of including a global patching module. In the context of on-chip networks, we propose two routing reconfiguration algorithms that bypass faulty network resources. The first computes short-term routes in a distributed fashion, localized to the fault region. The second decomposes application-aware routing computation into simple routing rules so to quickly find deadlock-free, application-optimized routes in a fault-ridden network. Finally, we consider general accelerator modules in SoC designs. When a system includes many accelerators, there are a variety of interactions among them that must be verified to catch buggy interactions. To this end, we decompose such inter-module communication into basic interaction elements, which can be reassembled into new, interesting tests. Overall, we show that the decomposition of complex software algorithms and hardware structures can significantly reduce overheads: up to three orders of magnitude in the bug-masking analysis and the application-aware routing, approximately 50 times in the routing reconfiguration latency, and 5 times on average in the memory-ordering graph checking. These overhead reductions come with losses in error coverage: 23% undetected bug-masking incidents, 39% non-patchable memory bugs, and occasionally we overlook rare patterns of multiple faults. In this dissertation, we discuss the ideas and their trade-offs, and present future research directions.PHDComputer Science & EngineeringUniversity of Michigan, Horace H. Rackham School of Graduate Studieshttps://deepblue.lib.umich.edu/bitstream/2027.42/147637/1/doowon_1.pd

    Design of Optical Interconnect Transceiver Circuits and Network-on-chip Architectures for Inter- and Intra-chip Communication

    Get PDF
    The rapid expansion in data communication due to the increased multimedia applications and cloud computing services necessitates improvements in optical transceiver circuitry power efficiency as these systems scale well past 10 Gb/s. In order to meet these requirements, a 26 GHz transimpedance amplifier (TIA) is presented in a 0.25-µm SiGe BiCMOS technology. It employs a transformer-based regulated cascode (RGC) input stage which provides passive negative-feedback gain that enhances the effective transconductance of the TIA’s input common-base transistor; reducing the input resistance and pro- viding considerable bandwidth extension without significant noise degradation or power consumption. The TIA achieves a 53 dBΩ single-ended transimpedance gain with a 26√ GHz bandwidth and 21.3 pA/H z average input-referred noise current spectral density. Total chip power including output buffering is 28.2 mW from a 2.5 V supply, with the core TIA consuming 8.2 mW, and the chip area including pads is 960 µm × 780 µm. With the advance of photonic devices, optical interconnects becomes a promising technology to replace the conventional electrical channels for the high-bandwidth and power efficient inter/intra-chip interconnect. Second, a silicon photonic transceiver is presented for a silicon ring resonator-based optical interconnect architecture in a 1V standard 65nm CMOS technology. The transmitter circuits incorporate high-swing drivers with non-linear pre-emphasis and automatic bias-based tuning for resonance wavelength stabilization. An optical forwarded-clock adaptive inverter-based transimpedance amplifier (TIA) receiver trades-off power for varying link budgets by employing an on-die eye monitor and scaling the TIA supply for the required sensitivity. At 5 GB/s operation, the ring modulator un- der 4Vpp driver achieves 12.7dB extinction ratio with 4.04mW power consumption, while a 0.28nm tuning range is obtained at 6.8µW/GHz efficiency with the bias-based tuning scheme implemented with the 2Vpp transmitter. When tested with a wire-bonded 150f- F p-i-n photodetector, the receiver achieves -12.7dBm sensitivity at a BER=10−15 and consumes 2.2mW at 8 GB/s. Third, a novel Nano-Photonic Network-on-Chip (NoC) architecture, called LumiNoC, is proposed for high performance and power-efficient interconnects for the chip-multi- processors (CMPs). A 64-node LumiNoC under synthetic traffic enjoys 50% less latency at low loads versus other reported photonic NoCs, and ∼25% less latency versus the electrical 2D mesh NoCs on realistic workloads. Under the same ideal throughput, LumiNoC achieves laser power reduction of 78%, and overall power reduction of 44% versus competing designs
    corecore