111 research outputs found

    Strain-Engineered MOSFETs

    Get PDF
    This book brings together new developments in the area of strain-engineered MOSFETs using high-mibility substrates such as SIGe, strained-Si, germanium-on-insulator and III-V semiconductors into a single text which will cover the materials aspects, principles, and design of advanced devices, their fabrication and applications. The book presents a full TCAD methodology for strain-engineering in Si CMOS technology involving data flow from process simulation to systematic process variability simulation and generation of SPICE process compact models for manufacturing for yield optimization

    Design and simulation of strained-Si/strained-SiGe dual channel hetero-structure MOSFETs

    Get PDF
    With a unified physics-based model linking MOSFET performance to carrier mobility and drive current, it is shown that nearly continuous carrier mobility increase has been achieved by introduction of process-induced and global-induced strain, which has been responsible for increase in device performance commensurately with scaling. Strained silicon-germanium technology is a hot research area, explored by many different research groups for present and future CMOS technology, due to its high hole mobility and easy process integration with silicon. Several heterostructure architectures for strained Si/SiGe have been shown in the literature. A dual channel heterostructure consisting of strained Si/Si1-xGex on a relaxed SiGe buffer provides a platform for fabricating MOS transistors with high drive currents, resulting from high carrier mobility and carrier velocity, due to presence of compressively strained silicon germanium layer. This works reports the design, modeling and simulation of NMOS and PMOS transistors with a tensile strained Si channel layer and compressively strained SiGe channel layer for a 65 nm logic technology node. Since most of the recent work on development of strained Si/SiGe has been experimental in nature, developments of compact models are necessary to predict the device behavior. A unified modeling approach consisting of different physics-based models has been formulated in this work and their ability to predict the device behavior has been investigated. In addition to this, quantum mechanical simulations were performed in order to investigate and model the device behavior. High p/n-channel drive currents of 0.43 and 0.98 mA/Gm, respectively, are reported in this work. However with improved performance, ~ 10% electrostatic degradation was observed in PMOS due to buried channel device

    ๋†’์€ ์ „๋ฅ˜ ๊ตฌ๋™๋Šฅ๋ ฅ์„ ๊ฐ€์ง€๋Š” SiGe ๋‚˜๋…ธ์‹œํŠธ ๊ตฌ์กฐ์˜ ํ„ฐ๋„๋ง ์ „๊ณ„ํšจ๊ณผ ํŠธ๋žœ์ง€์Šคํ„ฐ

    Get PDF
    ํ•™์œ„๋…ผ๋ฌธ (๋ฐ•์‚ฌ) -- ์„œ์šธ๋Œ€ํ•™๊ต ๋Œ€ํ•™์› : ๊ณต๊ณผ๋Œ€ํ•™ ์ „๊ธฐยท์ •๋ณด๊ณตํ•™๋ถ€, 2021. 2. ๋ฐ•๋ณ‘๊ตญ.The development of very-large-scale integration (VLSI) technology has continuously demanded smaller devices to achieve high integration density for faster computing speed or higher capacity. However, in the recent complementary-metal-oxide-semiconductor (CMOS) technology, simple downsizing the dimension of metal-oxide-semiconductor field-effect transistor (MOSFET) no longer guarantees the boosting performance of IC chips. In particular, static power consumption is not reduced while device size is decreasing because voltage scaling is slowed down at some point. The increased off-current due to short-channel effect (SCE) of MOSFET is a representative cause of the difficulty in voltage scaling. To overcome these fundamental limits of MOSFET, many researchers have been looking for the next generation of FET device over the last ten years. Tunnel field-effect transistor (TFET) has been intensively studied for its steep switching characteristics. Nevertheless, the poor current drivability of TFET is the most serious obstacle to become competitive device for MOSFET. In this thesis, TFET with high current drivability in which above-mentioned problem is significantly solved is proposed. Vertically-stacked SiGe nanosheet channels are used to boost carrier injection and gate control. The fabrication technique to form highly-condensed SiGe nanosheets is introduced. TFET is fabricated with MOSFET with the same structure in the CMOS-compatible process. Both technology-computer-aided-design (TCAD) simulation and experimental results are utilized to support and examine the advantages of proposed TFET. From the perspective of the single device, the improvement in switching characteristics and current drivability are quantitatively and qualitatively analyzed. In addition, the device performance is compared to the benchmark of previously reported TFET and co-fabricated MOSFET. Through those processes, the feasibility of SiGe nanosheet TFET is verified. It is revealed that the proposed SiGe nanosheet TFET has notable steeper switching and low leakage in the low drive voltage as an alternative to conventional MOSFET.์ดˆ๊ณ ๋ฐ€๋„ ์ง‘์ ํšŒ๋กœ ๊ธฐ์ˆ ์˜ ๋ฐœ์ „์€ ๊ณ ์ง‘์ ๋„ ๋‹ฌ์„ฑ์„ ํ†ตํ•ด ๋‹จ์œ„ ์นฉ์˜ ์—ฐ์‚ฐ ์†๋„ ๋ฐ ์šฉ๋Ÿ‰ ํ–ฅ์ƒ์— ๊ธฐ์—ฌํ•  ์†Œํ˜•์˜ ์†Œ์ž๋ฅผ ๋Š์ž„์—†์ด ์š”๊ตฌํ•˜๊ณ  ์žˆ๋‹ค. ํ•˜์ง€๋งŒ ์ตœ์‹ ์˜ ์ƒ๋ณดํ˜• ๊ธˆ์†-์‚ฐํ™”๋ง‰-๋ฐ˜๋„์ฒด (CMOS) ๊ธฐ์ˆ ์—์„œ ๊ธˆ์†-์‚ฐํ™”๋ง‰-๋ฐ˜๋„์ฒด ์ „๊ณ„ ํšจ๊ณผ ํŠธ๋žœ์ง€์Šคํ„ฐ (MOSFET) ์˜ ๋‹จ์ˆœํ•œ ์†Œํ˜•ํ™”๋Š” ๋” ์ด์ƒ ์ง‘์ ํšŒ๋กœ์˜ ์„ฑ๋Šฅ ํ–ฅ์ƒ์„ ๋ณด์žฅํ•ด ์ฃผ์ง€ ๋ชปํ•˜๊ณ  ์žˆ๋‹ค. ํŠนํžˆ ์†Œ์ž์˜ ํฌ๊ธฐ๊ฐ€ ์ค„์–ด๋“œ๋Š” ๋ฐ˜๋ฉด ์ •์  ์ „๋ ฅ ์†Œ๋ชจ๋Ÿ‰์€ ์ „์•• ์Šค์ผ€์ผ๋ง์˜ ๋‘”ํ™”๋กœ ์ธํ•ด ๊ฐ์†Œ๋˜์ง€ ์•Š๊ณ  ์žˆ๋Š” ์ƒํ™ฉ์ด๋‹ค. MOSFET์˜ ์งง์€ ์ฑ„๋„ ํšจ๊ณผ๋กœ ์ธํ•ด ์ฆ๊ฐ€๋œ ๋ˆ„์„ค ์ „๋ฅ˜๊ฐ€ ์ „์•• ์Šค์ผ€์ผ๋ง์˜ ์–ด๋ ค์›€์„ ์ฃผ๋Š” ๋Œ€ํ‘œ์  ์›์ธ์œผ๋กœ ๊ผฝํžŒ๋‹ค. ์ด๋Ÿฌํ•œ ๊ทผ๋ณธ์ ์ธ MOSFET์˜ ํ•œ๊ณ„๋ฅผ ๊ทน๋ณตํ•˜๊ธฐ ์œ„ํ•˜์—ฌ ์ง€๋‚œ 10์—ฌ๋…„๊ฐ„ ์ƒˆ๋กœ์šด ๋‹จ๊ณ„์˜ ์ „๊ณ„ ํšจ๊ณผ ํŠธ๋žœ์ง€์Šคํ„ฐ ์†Œ์ž๋“ค์ด ์—ฐ๊ตฌ๋˜๊ณ  ์žˆ๋‹ค. ๊ทธ ์ค‘ ํ„ฐ๋„ ์ „๊ณ„ ํšจ๊ณผ ํŠธ๋žœ์ง€์Šคํ„ฐ(TFET)์€ ๊ทธ ํŠน์œ ์˜ ์šฐ์ˆ˜ํ•œ ์ „์› ํŠน์„ฑ์œผ๋กœ ๊ฐ๊ด‘๋ฐ›์•„ ์ง‘์ค‘์ ์œผ๋กœ ์—ฐ๊ตฌ๋˜๊ณ  ์žˆ๋‹ค. ๋งŽ์€ ์—ฐ๊ตฌ์—๋„ ๋ถˆ๊ตฌํ•˜๊ณ , TFET์˜ ๋ถ€์กฑํ•œ ์ „๋ฅ˜ ๊ตฌ๋™ ๋Šฅ๋ ฅ์€ MOSFET์˜ ๋Œ€์ฒด์žฌ๋กœ ์ž๋ฆฌ๋งค๊น€ํ•˜๋Š” ๋ฐ ๊ฐ€์žฅ ํฐ ๋ฌธ์ œ์ ์ด ๋˜๊ณ  ์žˆ๋‹ค. ๋ณธ ํ•™์œ„๋…ผ๋ฌธ์—์„œ๋Š” ์ƒ๊ธฐ๋œ ๋ฌธ์ œ์ ์„ ํ•ด๊ฒฐํ•  ์ˆ˜ ์žˆ๋Š” ์šฐ์ˆ˜ํ•œ ์ „๋ฅ˜ ๊ตฌ๋™ ๋Šฅ๋ ฅ์„ ๊ฐ€์ง„ TFET์ด ์ œ์•ˆ๋˜์—ˆ๋‹ค. ๋ฐ˜์†ก์ž ์œ ์ž…๊ณผ ๊ฒŒ์ดํŠธ ์ปจํŠธ๋กค์„ ํ–ฅ์ƒ์‹œํ‚ฌ ์ˆ˜ ์žˆ๋Š” ์ˆ˜์ง ์ ์ธต๋œ ์‹ค๋ฆฌ์ฝ˜์ €๋งˆ๋Š„(SiGe) ๋‚˜๋…ธ์‹œํŠธ ์ฑ„๋„์ด ์‚ฌ์šฉ๋˜์—ˆ๋‹ค. ๋˜ํ•œ, ์ œ์•ˆ๋œ TFET์€ CMOS ๊ธฐ๋ฐ˜ ๊ณต์ •์„ ํ™œ์šฉํ•˜์—ฌ MOSFET๊ณผ ํ•จ๊ป˜ ์ œ์ž‘๋˜์—ˆ๋‹ค. ํ…Œํฌ๋†€๋กœ์ง€ ์ปดํ“จํ„ฐ ์ง€์› ์„ค๊ณ„(TCAD) ์‹œ๋ฎฌ๋ ˆ์ด์…˜๊ณผ ์‹ค์ œ ์ธก์ • ๊ฒฐ๊ณผ๋ฅผ ํ™œ์šฉํ•˜์—ฌ ์ œ์•ˆ๋œ ์†Œ์ž์˜ ์šฐ์ˆ˜์„ฑ์„ ๊ฒ€์ฆํ•˜์˜€๋‹ค. ๋‹จ์œ„ CMOS ์†Œ์ž์˜ ๊ด€์ ์—์„œ, ์ „์› ํŠน์„ฑ๊ณผ ์ „๋ฅ˜ ๊ตฌ๋™ ๋Šฅ๋ ฅ์˜ ํ–ฅ์ƒ์„ ์ •๋Ÿ‰์ , ์ •์„ฑ์  ๋ฐฉ๋ฒ•์œผ๋กœ ๋ถ„์„ํ•˜์˜€๋‹ค. ๊ทธ๋ฆฌ๊ณ , ์ œ์ž‘๋œ ์†Œ์ž์˜ ์„ฑ๋Šฅ์„ ๊ธฐ์กด ์ œ์ž‘ ๋ฐ ๋ณด๊ณ ๋œ TFET ๋ฐ ํ•จ๊ป˜ ์ œ์ž‘๋œ MOSSFET๊ณผ ๋น„๊ตํ•˜์˜€๋‹ค. ์ด๋Ÿฌํ•œ ๊ณผ์ •์„ ํ†ตํ•ด, ์‹ค๋ฆฌ์ฝ˜์ €๋งˆ๋Š„ ๋‚˜๋…ธ์‹œํŠธ TFET์˜ ํ™œ์šฉ ๊ฐ€๋Šฅ์„ฑ์ด ์ž…์ฆ๋˜์—ˆ๋‹ค. ์ œ์•ˆ๋œ ์‹ค๋ฆฌ์ฝ˜์ €๋งˆ๋Š„ ๋‚˜๋…ธ์‹œํŠธ ์†Œ์ž๋Š” ์ฃผ๋ชฉํ•  ๋งŒํ•œ ์ „์› ํŠน์„ฑ์„ ๊ฐ€์กŒ๊ณ  ์ €์ „์•• ๊ตฌ๋™ ํ™˜๊ฒฝ์—์„œ ํ•œ์ธต ๋” ๋‚ฎ์€ ๋ˆ„์„ค ์ „๋ฅ˜๋ฅผ ๊ฐ€์ง์œผ๋กœ์จ ํ–ฅํ›„ MOSFET์„ ๋Œ€์ฒดํ• ๋งŒํ•œ ์ถฉ๋ถ„ํ•œ ๊ฐ€๋Šฅ์„ฑ์„ ๋ณด์—ฌ์ฃผ์—ˆ๋‹ค.Chapter 1 Introduction 1 1.1. Power Crisis of Conventional CMOS Technology 1 1.2. Tunnel Field-Effect Transistor (TFET) 6 1.3. Feasibility and Challenges of TFET 9 1.4. Scope of Thesis 11 Chapter 2 Device Characterization 13 2.1. SiGe Nanosheet TFET 13 2.2. Device Concept 15 2.3. Calibration Procedure for TCAD simulation 17 2.4. Device Verification with TCAD simulation 21 Chapter 3 Device Fabrication 31 3.1. Fabrication Process Flow 31 3.2. Key Processes for SiGe Nanosheet TFET 33 3.2.1. Key Process 1 : SiGe Nanosheet Formation 34 3.2.2. Key Process 2 : Source/Drain Implantation 41 3.2.3. Key Process 3 : High-ฮบ/Metal gate Formation 43 Chapter 4 Results and Discussion 53 4.1. Measurement Results 53 4.2. Analysis of Device Characteristics 56 4.2.1. Improved Factors to Performance in SiGe Nanosheet TFET 56 4.2.2. Performance Comparison with SiGe Nanosheet MOSFET 62 4.3. Performance Evaluation through Benchmarks 64 4.4. Optimization Plan for SiGe nanosheet TFET 66 4.4.1. Improvement of Quality of Gate Dielectric 66 4.4.2. Optimization of Doping Junction at Source 67 Chapter 5 Conclusion 71 Bibliography 73 Abstract in Korean 81 List of Publications 83Docto

    Nanoscale characterisation of dielectrics for advanced materials and electronic devices

    Get PDF
    PhD ThesisStrained silicon (Si) and silicon-germanium (SiGe) devices have long been recognised for their enhanced mobility and higher on-state current compared with bulk-Si transistors. However, the performance and reliability of dielectrics on strained Si/strained SiGe is usually not same as for bulk-Si. Epitaxial growth of strained Si/SiGe can induce surface roughness. The typical scale of surface roughness is generally higher than bulk-Si and can exceed the device size. Surface roughness has previously been shown to impact the electrical properties of the gate dielectric. Conventional macroscopic characterisation techniques are not capable of studying localised electrical behaviour, and thus prevent an understanding of the influence of large scale surface roughness. However scanning probe microscopy (SPM) techniques are capable of simultaneously imaging material and electrical properties. This thesis focuses on understanding the relationship between substrate induced surface roughness and the electrical performance of the overlying dielectric in high mobility strained Si/SiGe devices. SPM techniques including conductive atomic force microscopy (C-AFM) and scanning capacitance microscopy (SCM) have been applied to tensile strained Si and compressively strained SiGe materials and devices, suitable for enhancing electron and hole mobility, respectively. Gate leakage current, interface trap density, breakdown behaviour and dielectric thickness uniformity have been studied at the nanoscale. Data obtained by SPM has been compared with macroscopic electrical data from the same devices and found to be in good agreement. For strained Si devices exhibiting the typical crosshatch morphology, the electrical performance and reliability of the dielectric is strongly influenced by the roughness. Troughs and slopes of the crosshatch morphology lead to degraded gate leakage and trapped charge at the interface compared with peaks on the crosshatch undulations. Tensile strained Si material which does not exhibit the crosshatch undulation exhibits improved uniformity in dielectric properties. Quantitative agreement has been found for leakage at a device-level and nanoscale, when accounting for the tip area. The techniques developed can be used to study individual defects or regions on dielectrics whether grown or deposited (including high-ฮบ) and on different substrates including strained Si on insulator (SSOI), strained Ge on insulator (SGOI), strained Ge, silicon carbide (SiC) and graphene. Strained SiGe samples with Ge content varying from 0 to 65% have also been studied. The increase in leakage and trapped charge density with increasing Ge extracted from SPM data is in good agreement with theory and macroscopic data. The techniques appear to be very sensitive, with SCM analysis detecting other dielectric related defects on a 20% Ge sample and the effects of the 65% Ge later exceeding the critical thickness (increased defects and variability in characteristics). Further applications and work to advance the use of electrical SPM techniques are also discussed. These include anti-reflective coatings, synthetic chrysotile nanotubes and sensitivity studies.Overseas Research Students Awards Scheme (ORSAS), School International Research Scholarship (SIRS), Newcastle University International Postgraduate Scholarship (NUIPS) and the Strained Si/SiGe platform grant

    Selective EPI process for advanced CMOS devices

    Get PDF
    Master'sMASTER OF ENGINEERIN

    Development of high mobility channel layer formation technology for high speed CMOS Devices

    Get PDF
    Ph.DDOCTOR OF PHILOSOPH

    Strained Silicon Layer in CMOS Technology

    Get PDF
    Semiconductor industry is currently facing with the fact that conventional submicron CMOS technology is approaching the end of their capabilities, at least when it comes to scaling the dimensions of the components. Therefore, much attention is paid to device technology that use new technological structures and new channel materials. Modern technological processes, which mainly include ultra high vacuum chemical vapor deposition, molecular beam epitaxy and metal-organic molecular vapor deposition, enable the obtaining of ultrathin, crystallographically almost perfect, strained layers of high purity. In this review paper we analyze the role that such layers have in modern CMOS technologies. Itโ€™s given an overview of the characteristics of both strain techniques, global and local, with special emphasis on performance of NMOS biaxial strain and PMOS uniaxial strain. Due to the improved transport properties of strained layers, especially high mobility of charge carriers, the emphasis is on mechanisms to increase the charge mobility of strained silicon and germanium, in light of recent developments in CMOS technology

    Low disordered, stable, and shallow germanium quantum wells: a playground for spin and hybrid quantum technology

    Full text link
    Buried-channel semiconductor heterostructures are an archetype material platform to fabricate gated semiconductor quantum devices. Sharp confinement potential is obtained by positioning the channel near the surface, however nearby surface states degrade the electrical properties of the starting material. In this paper we demonstrate a two-dimensional hole gas of high mobility (5ร—1055\times 10^{5} cm2^2/Vs) in a very shallow strained germanium channel, which is located only 22 nm below the surface. This high mobility leads to mean free paths โ‰ˆ6ฮผm\approx6 \mu m, setting new benchmarks for holes in shallow FET devices. Carriers are confined in an undoped Ge/SiGe heterostructure with reduced background contamination, sharp interfaces, and high uniformity. The top-gate of a dopant-less field effect transistor controls the carrier density in the channel. The high mobility, along with a percolation density of 1.2ร—1011ย cmโˆ’21.2\times 10^{11}\text{ cm}^{-2}, light effective mass (0.09 me_e), and high g-factor (up to 77) highlight the potential of undoped Ge/SiGe as a low-disorder material platform for hybrid quantum technologies

    Numerical simulation of sub-100 nm strained Si/SiGe MOSFETs for RF and CMOS applications

    Get PDF
    Drift-Diffusion, Hydrodynamic and Monte Carlo simulations have been used in this work to simulate strained Si/SiGe devices for RF and CMOS applications. For numerical simulations of Si/SiGe devices, strain effects on the band structure of Si have been analyzed and analytical expressions are presented for parameters related to the bandgap and band alignment of Si/SiGe heterostructure. Optimization of n-type buried strained Si channel Si/SiGe MODFETs has been carried out in order to achieve high RF performance and high linearity. The impact of both lateral and vertical device geometries and different doping strategies has been investigated. The impact of the Ge content of the SiGe buffer on the performance of p-type surface channel strained Si/SiGe MOSFETs has been studied. Hydrodynamic device simulations have been used to assess the device performance of p-type strained Si/SiGe MOSFETs down to 35 nm gate lengths. Well-tempered strained Si MOSFETs with halo implants around the source/drain regions have been simulated and compared with those devices possessing only a single retrograde channel doping. The calibrations in respect of sub-100 nm Si and strained Si MOSFETs fabricated by IBM lead to a scaling study of those devices at 65 nm, 45 nm and 35 nm gate lengths. Using Drift-Diffusion simulations, ring oscillator circuit behaviour has been evaluated. Strained Si on insulator (SSOI) circuits have also been simulated and compared with strained Si circuits, Si circuits employing conventional surface channel MOSFETs along with SOI devices. Ensemble Monte Carlo simulations have been used to evaluate the device performance of n-type strained Si MOSFETs. A non-perturbative interface roughness scattering model has been used and validated by calibrating with respect to experimental mobility behaviour and device characteristics. The impact of interface roughness on the performance enhancement of strained Si MOSFETs has been investigated and evidence for reduced interface roughness scattering is presented, i.e., a smoother interface is suggested in strained Si MOSFETs. A 35 nm gate length Toshiba Si MOSFET has been simulated and the performance enhancement of 35 nm strained Si MOSFETs over the Toshiba Si device is predicted. Monte Carlo simulations are also employed to investigate the performance degradation due to soft-optical phonon scattering, which arises with the introduction of high-K gate dielectrics. Based on the device structures of the calibrated sub-100 nm n-type conventional and strained Si IBM MOSFETs, significant current degradation has been observed in devices with high-K gate dielectrics, HfO2 and Al2O3

    Challenges for 10 nm MOSFET process integration, Journal of Telecommunications and Information Technology, 2007, nr 2

    Get PDF
    An overview of critical integration issues for future generation MOSFETs towards 10 nm gate length is presented. Novel materials and innovative structures are discussed. The need for high-k gate dielectrics and a metal gate electrode is discussed. Different techniques for strain-enhanced mobility are discussed. As an example, ultra thin body SOI devices with high mobility SiGe channels are demonstrated
    • โ€ฆ
    corecore