71 research outputs found

    Compact and accurate models of large single-wall carbon-nanotube interconnects

    Get PDF
    Single-wall carbon nanotubes (SWCNTs) have been proposed for very large scale integration interconnect applications and their modeling is carried out using the multiconductor transmission line (MTL) formulation. Their time-domain analysis has some simulation issues related to the high number of SWCNTs within each bundle, which results in a highly complex model and loss of accuracy in the case of long interconnects. In recent years, several techniques have been proposed to reduce the complexity of the model whose accuracy decreases as the interconnection length increases. This paper presents a rigorous new technique to generate accurate reduced-order models of large SWCNT interconnects. The frequency response of the MTL is computed by using the spectral form of the dyadic Green's function of the 1-D propagation problem and the model complexity is reduced using rational-model identification techniques. The proposed approach is validated by numerical results involving hundreds of SWCNTs, which confirm its capability of reducing the complexity of the model, while preserving accuracy over a wide frequency range

    Interconnect Challenges and Carbon Nanotube as Interconnect in Nano VLSI Circuits

    Get PDF
    This chapter discusses about the behavior of Carbon Nanotube (CNT) different structures which can be used as interconnect in Very Large Scale (VLSI) circuits in nanoscale regime. Also interconnect challenges in VLSI circuits which lead to use CNT as interconnect instead of Cu, is reviewed. CNTs are classified into three main types including Single-walled Carbon Nanotube (SWCNT), CNT Bundle, and Multi-walled Carbon Nanotube (MWCNT). Because of extremely high quantum resistance of a SWCNT which is about 6.45 kΩ, rope or bundle of CNTs are used which consist of parallel CNTs in order to overcome the high delay time due to the high intrinsic (quantum) resistance. Also MWCNTs which consist of parallel shells, present much less delay time with respect to SWCNTs, for the application as interconnects. In this chapter, first a short discussion about interconnect challenges in VLSI circuits is presented. Then the repeater insertion technique for the delay reduction in the global interconnects will be studied. After that, the parameters and circuit model of a CNT will be discussed. Then a brief review about the different structures of CNT interconnects including CNT bundle and MWCNT will be presented. At the continuation, the time domain behavior of a CNT bundle interconnect in a driver-CNT bundle-load configuration will be discussed and analyzed. In this analysis, CNT bundle is modeled as a transmission line circuit model. At the end, a brief study of stability analysis in CNT interconnects will be presented

    Carbon Nanotube as a VLSI Interconnect

    Get PDF

    Physical Parameter Based Model for Characteristic Impedance of SWCNT Interconnects and its Performance Analysis

    Get PDF
    Single walled carbon nanotubes (SWCNTs) have been identified as a possible replacement for copper interconnects due to their magnificent electrical and material properties. A series of performance predictions of these interconnects have been done in the last decade. Even then none of the literatures have been provided compact expression for characteristic impedance (Zo) in terms of physical parameters of SWCNT interconnects. A simplified representation of characteristic impedance and the analyze the transient behavior under different mismatch conditions will enable the chip designer to optimize the performance of total circuitry. These studies give an overview of safe amount of load mismatch that can be tolerated by different lengths of interconnects without causing any signal reliability issues. Keywords: SWCNTs, CNT Interconnects, characteristic impedance, transient response, frequency response, load mismatc

    Crosstalk analysis of carbon nanotube bundle interconnects

    Get PDF
    Carbon nanotube (CNT) has been considered as an ideal interconnect material for replacing copper for future nanoscale IC technology due to its outstanding current carrying capability, thermal conductivity, and mechanical robustness. In this paper, crosstalk problems for single-walled carbon nanotube (SWCNT) bundle interconnects are investigated; the interconnect parameters for SWCNT bundle are calculated first, and then the equivalent circuit has been developed to perform the crosstalk analysis. Based on the simulation results using SPICE simulator, the voltage of the crosstalk-induced glitch can be reduced by decreasing the line length, increasing the spacing between adjacent lines, or increasing the diameter of SWCNT

    A survey of carbon nanotube interconnects for energy efficient integrated circuits

    Get PDF
    This article is a review of the state-of-art carbon nanotube interconnects for Silicon application with respect to the recent literature. Amongst all the research on carbon nanotube interconnects, those discussed here cover 1) challenges with current copper interconnects, 2) process & growth of carbon nanotube interconnects compatible with back-end-of-line integration, and 3) modeling and simulation for circuit-level benchmarking and performance prediction. The focus is on the evolution of carbon nanotube interconnects from the process, theoretical modeling, and experimental characterization to on-chip interconnect applications. We provide an overview of the current advancements on carbon nanotube interconnects and also regarding the prospects for designing energy efficient integrated circuits. Each selected category is presented in an accessible manner aiming to serve as a survey and informative cornerstone on carbon nanotube interconnects relevant to students and scientists belonging to a range of fields from physics, processing to circuit design

    Carbon Nanotube Interconnect Modeling for Very Large Scale Integrated Circuits

    Get PDF
    In this research, we have studied and analyzed the physical and electrical properties of carbon nanotubes. Based on the reported models for current transport behavior in non-ballistic CNT-FETs, we have built a dynamic model for non-ballistic CNT-FETs. We have also extended the surface potential model of a non-ballistic CNT-FET to a ballistic CNT-FET and developed a current transport model for ballistic CNT-FETs. We have studied the current transport in metallic carbon nanotubes. By considering the electron-electron interactions, we have modified two-dimensional fluid model for electron transport to build a semi-classical one-dimensional fluid model to describe the electron transport in carbon nanotubes, which is regarded as one-dimensional system. Besides its accuracy compared with two-dimensional fluid model and Lüttinger liquid theory, one-dimensional fluid model is simple in mathematical modeling and easier to extend for electronic transport modeling of multi-walled carbon nanotubes and single-walled carbon nanotube bundles as interconnections. Based on our reported one-dimensional fluid model, we have calculated the parameters of the transmission line model for the interconnection wires made of single-walled carbon nanotube, multi-walled carbon nanotube and single-walled carbon nanotube bundle. The parameters calculated from these models show close agreements with experiments and other proposed models. We have also implemented these models to study carbon nanotube for on-chip wire inductors and it application in design of LC voltage-controlled oscillators. By using these CNT-FET models and CNT interconnects models, we have studied the behavior of CNT based integrated circuits, such as the inverter, ring oscillator, energy recovery logic; and faults in CNT based circuits

    Carbon Nanotube Capacitors

    Get PDF
    We introduce a vertical carbon nanotube capacitor with high capacitance per unit area. Using an electrical model of single-walled, metallic carbon nanotubes and the extracted capacitance values of a carbon nanotube bundle network, we develop an electrical model for the capacitor. The device can exhibit a capacitance greater than 175fF/mu m(2)

    Carbon Nanotube Interconnects: Process Variation via Polynomial Chaos

    Get PDF
    This paper addresses the generation of an enhanced stochastic model of a carbon nanotube interconnect including the effects of process variation. The proposed approach is based on the expansion of the constitutive relations of state-of-the-art deterministic models of nanointerconnects with uncertain parameters in terms of orthogonal polynomials. The method offers comparable accuracy and improved efficiency with respect to conventional methods like Monte Carlo in predicting the statistical behavior of the electrical performance of next-generation data links. An application example involving both the frequency- and time-domain analysis of a realistic nanointerconnect concludes this paper
    corecore