1,861 research outputs found

    Ni-Al alloys as alternative EUV mask absorber

    Get PDF
    Extreme ultraviolet (EUV) lithography is being industrialized as the next candidate printing technique for high-volume manufacturing of scaled down integrated circuits. At mask level, the combination of EUV light at oblique incidence, absorber thickness, and non-uniform mirror reflectance through incidence angle, creates photomask-induced imaging aberrations, known as mask 3D (M3D) effects. A possible mitigation for the M3D effects in the EUV binary intensity mask (BIM), is to use mask absorber materials with high extinction coefficient k and refractive coefficient n close to unity. We propose nickel aluminide alloys as a candidate BIM absorber material, and characterize them versus a set of specifications that a novel EUV mask absorber must meet. The nickel aluminide samples have reduced crystallinity as compared to metallic nickel, and form a passivating surface oxide layer in neutral solutions. Composition and density profile are investigated to estimate the optical constants, which are then validated with EUV reflectometry. An oxidation-induced Al L2 absorption edge shift is observed, which significantly impacts the value of n at 13.5 nm wavelength and moves it closer to unity. The measured optical constants are incorporated in an accurate mask model for rigorous simulations. The M3D imaging impact of the nickel aluminide alloy mask absorbers, which predict significant M3D reduction in comparison to reference absorber materials. In this paper, we present an extensive experimental methodology flow to evaluate candidate mask absorber materials

    Characterization of laser-produced plasmas as light sources for extreme ultraviolet lithography and beyond

    Get PDF
    2019 Fall.Includes bibliographical references.Lithography is a critical process in the fabrication of integrated circuits. The continuous increase in computing power for more than half a century has depended in the ability to print smaller and smaller features, which has required the use of light sources operating at increasingly shorter wavelengths. There is keen interest in the development of high-power light sources for extreme ultraviolet (EUV) lithography at λ=13.5 nm and future beyond extreme ultraviolet (BEUV) lithography near λ=6.7 nm. The work conducted in this dissertation has characterized aspects of laser-produced plasmas (LPPs) that serve as light sources for EUV / BEUV lithography. The laser pulse shape dependence of the conversion efficiency of λ=1.03 μm laser into in-band 13.5 nm EUV emission in a Sn LPP was studied as a function of laser pulse shape and durations. Laser pulses of arbitrary temporal shape with variable energy and pulse widths were generated using a programmable pulse synthesizer based on a diode-pumped chirped pulse amplification Yb: YAG laser. The pulse synthesizer is based on wave front splitting and pulse stacking for the generation of arbitrary shape laser pulses of Joule-level energy. Pulses ranging from hundreds of ps to several ns were generated with a single laser. The measurements showed the CE favors the use of nearly square pulses of duration longer than 2 ns, in agreement with hydrodynamic/atomic physics simulations. A significant increase in CE was observed when Q-switched pulses were substituted by square pulses of similar duration. Conditions were observed at which the EUV pulse duration significantly outlasts the laser pulse in the direction normal to the target surface, in contrast at grazing angles the measured EUV pulse duration is shorter and similar to the laser pulse duration. The physics leading to this angular anisotropy is discussed, along with the spectroscopic characterization of EUV emission and at-wavelength images that characterize the source size. Another aspect of this dissertation includes a comprehensive study of the emission from Gd and Tb LPPs in the λ=6.5 - 6.7 nm region. BEUV emission spectra were measured as a function of laser pulse duration (120 ps - 4 ns), emission angle, and spatial location within the plasma. At-wavelength images of the BEUV emitting plasma region were obtained as a function of irradiation parameters. The peak of the emission spectrum was observed to broaden and to shift to longer wavelengths as the laser pulses are shortened from ns to hundreds of ps. Transient self-consistent hydrodynamic/atomic physics simulations show that the picosecond irradiation creates significantly hotter plasmas in which the dominant emission originates from more highly ionized species. Gd LPP emission driven by nanosecond laser pulses best matched the reflectivity band of our La/B4C mirrors. Spatially resolved spectra of the Gd LPP were acquired for different laser parameters and were compared to simulations. The CE into in-band BEUV emission was determined by integrating angularly resolved measurements obtained using an array of calibrated energy monitors. A maximum CE of 0.47% / 0.45% for the Gd / Tb LPPs was obtained within a 0.6% bandwidth. The results are of potential interest BEUV lithography

    Incorporating photomask shape uncertainty in computational lithography

    Get PDF
    The lithographic performance of a photomask is sensitive to shape uncertainty caused by manufacturing and measurement errors. This work proposes incorporating the photomask shape uncertainty in computational lithography such as inverse lithography. The shape uncertainty of the photomask is quantitatively modeled as a random ?eld in a level-set method framework. With this, the shape uncertainty can be characterized by several parameters, making it computationally tractable to be incorporated in inverse lithography technique (ILT). Simulations are conducted to show the e€ectiveness of using this method to represent various kinds of shape variations. It is also demonstrated that incorporating the shape variation in ILT can reduce the mask error enhancement factor (MEEF) values of the optimized patterns, and improve the robustness of imaging performance against mask shape ?uctuation. © 2016 SPIE.published_or_final_versio

    Ko-Optimasi Source dan Pola Mask Berdasarkan Algoritma Multi-Objektif Particle Swarm Optimization

    Get PDF
    Studi ini mengintegrasikan algoritma multi-objektif particle swarm optimization (MOPSO) kedalam proses ko-optimasi source dan mask (SMO) untuk meningkatkan performa lithografi pada sinar ekstrim ultraviolet (EUV). Sebuah metode proses secara simultan dari source dan pola reticle dikembangkan pada riset ini. Untuk konstruksi source berbentuk bebas (freeform) , sebuah optimasi berbasis pixel digunakan pada platform PC. Algoritma MOPSO digunakan untuk menghasilkan source berbentuk bebas (Source Freform). Model berbasis pendekatan koreksi optik (Optical Proximity Correction or OPC) digunakan untuk mengoreksi pola dari mask layout. Dengan mempertimbangkan karakteristik dari sistem lithografi EUV, metode SMO dikembangkan dengan algoritma MOPSO menggunakan dua fungsi tujuan: error (EPE) dan bias horizontal/vertikal. Sebuah pola satu-dimensi line/space (L/S) digunakan sebagai informasi dasar untuk menguji Pareto dari algoritma SMO. Kemudian, pola 2D dengan half-pitch 22-nm diuji menggunakan algoritma yang sama. Algoritma MOPSO berhasil untuk mengkonstruksi solusi non-dominan (non-dominated) dari source Freeform dan Pareto. Indikator performa menunjukkan kondisi process windows (PW) seperti aerial image, exposure latitutde (EL), depth of focus (DOF) dan bias. Algoritma menunjukan bahwa PW meningkat untuk EL namun DOF menunjukkan penurunan. EL meningkat sebesar 5.26% dan DOF menurun sebesar 11.34% untuk 1D L/S. EL dan DOF meningkat 43.6% dan 18.11% untuk pola 2D. ========================================================================================================= This thesis integrates multi-objective particle swarm optimization (MOPSO) algorithm into the source and mask co - optimization (SMO) process to enhance the extreme ultraviolet (EUV) lithography imaging performance. A simultaneous source and reticle pattern process method is developed in this research. For the freeform source construction, a pixelated - based optimiz ation process was performed on PC platform. The MOPSO algorithm was applied to generate freeform source. Model - based optical proximity correction (OPC) was applied to correct the mask layout patterns. Considering the characteristics of the EUV lithography system, the developed SMO with the MOPSO algorithm is constrained by two cost functions: the edge placement error (EPE) and horizontal/vertical bias. A one - dimensional line/space (L/S) pattern is used as the baseline information to test the Pareto behavior of the developed SMO algorithm. Then, the 2D pattern with half - pitch 22 - nm was assessed using the developed algorithm. The proposed MOPSO algorithm succeeded to construct non - dominated solutions of freeform sources and Pareto front which four of those sol utions are presented. The performance indicators include process windows (PW) condition such as the aerial image contrast, exposure latitude (EL), depth of focus (DOF), and bias errors. The proposed algorithm shows that the common PW conditions improved on EL while the DOF is slightly suffering. The EL increased for 5.26% and DOF suffers for 11.34% in 1D L/S and both EL and DOF increased for 43.6% and 18.11%, respectively for the 2D pattern

    Joint optimization of source, mask, and pupil in optical lithography

    Get PDF
    Mask topography effects need to be taken into consideration for more advanced resolution enhancement techniques in optical lithography. However, rigorous 3D mask model achieves high accuracy at a large computational cost. This work develops a combined source, mask and pupil optimization (SMPO) approach by taking advantage of the fact that pupil phase manipulation is capable of partially compensating for mask topography effects. We first design the pupil wavefront function by incorporating primary and secondary spherical aberration through the coefficients of the Zernike polynomials, and achieve optimal source-mask pair under the condition of aberrated pupil. Evaluations against conventional source mask optimization (SMO) without incorporating pupil aberrations show that SMPO provides improved performance in terms of pattern fidelity and process window sizes.published_or_final_versio

    Measuring aberrations in lithographic projection systems with phase wheel targets

    Get PDF
    A significant factor in the degradation of nanolithographic image fidelity is optical wavefront aberration. Aerial image sensitivity to aberrations is currently much greater than in earlier lithographic technologies, a consequence of increased resolution requirements. Optical wavefront tolerances are dictated by the dimensional tolerances of features printed, which require lens designs with a high degree of aberration correction. In order to increase lithographic resolution, lens numerical aperture (NA) must continue to increase and imaging wavelength must decrease. Not only do aberration magnitudes scale inversely with wavelength, but high-order aberrations increase at a rate proportional to NA2 or greater, as do aberrations across the image field. Achieving lithographic-quality diffraction limited performance from an optical system, where the relatively low image contrast is further reduced by aberrations, requires the development of highly accurate in situ aberration measurement. In this work, phase wheel targets are used to generate an optical image, which can then be used to both describe and monitor aberrations in lithographic projection systems. The use of lithographic images is critical in this approach, since it ensures that optical system measurements are obtained during the system\u27s standard operation. A mathematical framework is developed that translates image errors into the Zernike polynomial representation, commonly used in the description of optical aberrations. The wavefront is decomposed into a set of orthogonal basis functions, and coefficients for the set are estimated from image-based measurements. A solution is deduced from multiple image measurements by using a combination of different image sets. Correlations between aberrations and phase wheel image characteristics are modeled based on physical simulation and statistical analysis. The approach uses a well-developed rigorous simulation tool to model significant aspects of lithography processes to assess how aberrations affect the final image. The aberration impact on resulting image shapes is then examined and approximations identified so the aberration computation can be made into a fast compact model form. Wavefront reconstruction examples are presented together with corresponding numerical results. The detailed analysis is given along with empirical measurements and a discussion of measurement capabilities. Finally, the impact of systematic errors in exposure tool parameters is measureable from empirical data and can be removed in the calibration stage of wavefront analysis
    corecore