38 research outputs found

    MPSoCBench : um framework para avaliação de ferramentas e metodologias para sistemas multiprocessados em chip

    Get PDF
    Orientador: Rodolfo Jardim de AzevedoTese (doutorado) - Universidade Estadual de Campinas, Instituto de ComputaçãoResumo: Recentes metodologias e ferramentas de projetos de sistemas multiprocessados em chip (MPSoC) aumentam a produtividade por meio da utilização de plataformas baseadas em simuladores, antes de definir os Ășltimos detalhes da arquitetura. No entanto, a simulação sĂł Ă© eficiente quando utiliza ferramentas de modelagem que suportem a descrição do comportamento do sistema em um elevado nĂ­vel de abstração. A escassez de plataformas virtuais de MPSoCs que integrem hardware e software escalĂĄveis nos motivou a desenvolver o MPSoCBench, que consiste de um conjunto escalĂĄvel de MPSoCs incluindo quatro modelos de processadores (PowerPC, MIPS, SPARC e ARM), organizado em plataformas com 1, 2, 4, 8, 16, 32 e 64 nĂșcleos, cross-compiladores, IPs, interconexĂ”es, 17 aplicaçÔes paralelas e estimativa de consumo de energia para os principais componentes (processadores, roteadores, memĂłria principal e caches). Uma importante demanda em projetos MPSoC Ă© atender Ă s restriçÔes de consumo de energia o mais cedo possĂ­vel. Considerando que o desempenho do processador estĂĄ diretamente relacionado ao consumo, hĂĄ um crescente interesse em explorar o trade-off entre consumo de energia e desempenho, tendo em conta o domĂ­nio da aplicação alvo. TĂ©cnicas de escalabilidade dinĂąmica de freqĂŒĂȘncia e voltagem fundamentam-se em gerenciar o nĂ­vel de tensĂŁo e frequĂȘncia da CPU, permitindo que o sistema alcance apenas o desempenho suficiente para processar a carga de trabalho, reduzindo, consequentemente, o consumo de energia. Para explorar a eficiĂȘncia energĂ©tica e desempenho, foram adicionados recursos ao MPSoCBench, visando explorar escalabilidade dinĂąmica de voltaegem e frequĂȘncia (DVFS) e foram validados trĂȘs mecanismos com base na estimativa dinĂąmica de energia e taxa de uso de CPUAbstract: Recent design methodologies and tools aim at enhancing the design productivity by providing a software development platform before the definition of the final Multiprocessor System on Chip (MPSoC) architecture details. However, simulation can only be efficiently performed when using a modeling and simulation engine that supports system behavior description at a high abstraction level. The lack of MPSoC virtual platform prototyping integrating both scalable hardware and software in order to create and evaluate new methodologies and tools motivated us to develop the MPSoCBench, a scalable set of MPSoCs including four different ISAs (PowerPC, MIPS, SPARC, and ARM) organized in platforms with 1, 2, 4, 8, 16, 32, and 64 cores, cross-compilers, IPs, interconnections, 17 parallel version of software from well-known benchmarks, and power consumption estimation for main components (processors, routers, memory, and caches). An important demand in MPSoC designs is the addressing of energy consumption constraints as early as possible. Whereas processor performance comes with a high power cost, there is an increasing interest in exploring the trade-off between power and performance, taking into account the target application domain. Dynamic Voltage and Frequency Scaling techniques adaptively scale the voltage and frequency levels of the CPU allowing it to reach just enough performance to process the system workload while meeting throughput constraints, and thereby, reducing the energy consumption. To explore this wide design space for energy efficiency and performance, both for hardware and software components, we provided MPSoCBench features to explore dynamic voltage and frequency scalability (DVFS) and evaluated three mechanisms based on energy estimation and CPU usage rateDoutoradoCiĂȘncia da ComputaçãoDoutora em CiĂȘncia da Computaçã

    Parallel and Distributed Computing

    Get PDF
    The 14 chapters presented in this book cover a wide variety of representative works ranging from hardware design to application development. Particularly, the topics that are addressed are programmable and reconfigurable devices and systems, dependability of GPUs (General Purpose Units), network topologies, cache coherence protocols, resource allocation, scheduling algorithms, peertopeer networks, largescale network simulation, and parallel routines and algorithms. In this way, the articles included in this book constitute an excellent reference for engineers and researchers who have particular interests in each of these topics in parallel and distributed computing

    Parallel architectures and runtime systems co-design for task-based programming models

    Get PDF
    The increasing parallelism levels in modern computing systems has extolled the need for a holistic vision when designing multiprocessor architectures taking in account the needs of the programming models and applications. Nowadays, system design consists of several layers on top of each other from the architecture up to the application software. Although this design allows to do a separation of concerns where it is possible to independently change layers due to a well-known interface between them, it is hampering future systems design as the Law of Moore reaches to an end. Current performance improvements on computer architecture are driven by the shrinkage of the transistor channel width, allowing faster and more power efficient chips to be made. However, technology is reaching physical limitations were the transistor size will not be able to be reduced furthermore and requires a change of paradigm in systems design. This thesis proposes to break this layered design, and advocates for a system where the architecture and the programming model runtime system are able to exchange information towards a common goal, improve performance and reduce power consumption. By making the architecture aware of runtime information such as a Task Dependency Graph (TDG) in the case of dataflow task-based programming models, it is possible to improve power consumption by exploiting the critical path of the graph. Moreover, the architecture can provide hardware support to create such a graph in order to reduce the runtime overheads and making possible the execution of fine-grained tasks to increase the available parallelism. Finally, the current status of inter-node communication primitives can be exposed to the runtime system in order to perform a more efficient communication scheduling, and also creates new opportunities of computation and communication overlap that were not possible before. An evaluation of the proposals introduced in this thesis is provided and a methodology to simulate and characterize the application behavior is also presented.El aumento del paralelismo proporcionado por los sistemas de cĂłmputo modernos ha provocado la necesidad de una visiĂłn holĂ­stica en el diseño de arquitecturas multiprocesador que tome en cuenta las necesidades de los modelos de programaciĂłn y las aplicaciones. Hoy en dĂ­a el diseño de los computadores consiste en diferentes capas de abstracciĂłn con una interfaz bien definida entre ellas. Las limitaciones de esta aproximaciĂłn junto con el fin de la ley de Moore limitan el potencial de los futuros computadores. La mayorĂ­a de las mejoras actuales en el diseño de los computadores provienen fundamentalmente de la reducciĂłn del tamaño del canal del transistor, lo cual permite chips mĂĄs rĂĄpidos y con un consumo eficiente sin apenas cambios fundamentales en el diseño de la arquitectura. Sin embargo, la tecnologĂ­a actual estĂĄ alcanzando limitaciones fĂ­sicas donde no serĂĄ posible reducir el tamaño de los transistores motivando asĂ­ un cambio de paradigma en la construcciĂłn de los computadores. Esta tesis propone romper este diseño en capas y abogar por un sistema donde la arquitectura y el sistema de tiempo de ejecuciĂłn del modelo de programaciĂłn sean capaces de intercambiar informaciĂłn para alcanzar una meta comĂșn: La mejora del rendimiento y la reducciĂłn del consumo energĂ©tico. Haciendo que la arquitectura sea consciente de la informaciĂłn disponible en el modelo de programaciĂłn, como puede ser el grafo de dependencias entre tareas en los modelos de programaciĂłn dataflow, es posible reducir el consumo energĂ©tico explotando el camino critico del grafo. AdemĂĄs, la arquitectura puede proveer de soporte hardware para crear este grafo con el objetivo de reducir el overhead de construir este grado cuando la granularidad de las tareas es demasiado fina. Finalmente, el estado de las comunicaciones entre nodos puede ser expuesto al sistema de tiempo de ejecuciĂłn para realizar una mejor planificaciĂłn de las comunicaciones y creando nuevas oportunidades de solapamiento entre cĂłmputo y comunicaciĂłn que no eran posibles anteriormente. Esta tesis aporta una evaluaciĂłn de todas estas propuestas, asĂ­ como una metodologĂ­a para simular y caracterizar el comportamiento de las aplicacionesPostprint (published version

    Cross-Layer Rapid Prototyping and Synthesis of Application-Specific and Reconfigurable Many-accelerator Platforms

    Get PDF
    Technological advances of recent years laid the foundation consolidation of informatisationof society, impacting on economic, political, cultural and socialdimensions. At the peak of this realization, today, more and more everydaydevices are connected to the web, giving the term ”Internet of Things”. The futureholds the full connection and interaction of IT and communications systemsto the natural world, delimiting the transition to natural cyber systems and offeringmeta-services in the physical world, such as personalized medical care, autonomoustransportation, smart energy cities etc. . Outlining the necessities of this dynamicallyevolving market, computer engineers are required to implement computingplatforms that incorporate both increased systemic complexity and also cover awide range of meta-characteristics, such as the cost and design time, reliabilityand reuse, which are prescribed by a conflicting set of functional, technical andconstruction constraints. This thesis aims to address these design challenges bydeveloping methodologies and hardware/software co-design tools that enable therapid implementation and efficient synthesis of architectural solutions, which specifyoperating meta-features required by the modern market. Specifically, this thesispresents a) methodologies to accelerate the design flow for both reconfigurableand application-specific architectures, b) coarse-grain heterogeneous architecturaltemplates for processing and communication acceleration and c) efficient multiobjectivesynthesis techniques both at high abstraction level of programming andphysical silicon level.Regarding to the acceleration of the design flow, the proposed methodologyemploys virtual platforms in order to hide architectural details and drastically reducesimulation time. An extension of this framework introduces the systemicco-simulation using reconfigurable acceleration platforms as co-emulation intermediateplatforms. Thus, the development cycle of a hardware/software productis accelerated by moving from a vertical serial flow to a circular interactive loop.Moreover the simulation capabilities are enriched with efficient detection and correctiontechniques of design errors, as well as control methods of performancemetrics of the system according to the desired specifications, during all phasesof the system development. In orthogonal correlation with the aforementionedmethodological framework, a new architectural template is proposed, aiming atbridging the gap between design complexity and technological productivity usingspecialized hardware accelerators in heterogeneous systems-on-chip and networkon-chip platforms. It is presented a novel co-design methodology for the hardwareaccelerators and their respective programming software, including the tasks allocationto the available resources of the system/network. The introduced frameworkprovides implementation techniques for the accelerators, using either conventionalprogramming flows with hardware description language or abstract programmingmodel flows, using techniques from high-level synthesis. In any case, it is providedthe option of systemic measures optimization, such as the processing speed,the throughput, the reliability, the power consumption and the design silicon area.Finally, on addressing the increased complexity in design tools of reconfigurablesystems, there are proposed novel multi-objective optimization evolutionary algo-rithms which exploit the modern multicore processors and the coarse-grain natureof multithreaded programming environments (e.g. OpenMP) in order to reduce theplacement time, while by simultaneously grouping the applications based on theirintrinsic characteristics, the effectively explore the design space effectively.The efficiency of the proposed architectural templates, design tools and methodologyflows is evaluated in relation to the existing edge solutions with applicationsfrom typical computing domains, such as digital signal processing, multimedia andarithmetic complexity, as well as from systemic heterogeneous environments, suchas a computer vision system for autonomous robotic space navigation and manyacceleratorsystems for HPC and workstations/datacenters. The results strengthenthe belief of the author, that this thesis provides competitive expertise to addresscomplex modern - and projected future - design challenges.ΟÎč Ï„Î”Ï‡ÎœÎżÎ»ÎżÎłÎčÎșές Î”ÎŸÎ”Î»ÎŻÎŸÎ”Îčς τωΜ Ï„Î”Î»Î”Ï…Ï„Î±ÎŻÏ‰Îœ ΔτώΜ έΞΔσαΜ τα ΞΔΌέλÎčα Î”ÎŽÏÎ±ÎŻÏ‰ÏƒÎ·Ï‚ της Ï€Î»Î·ÏÎżÏ†ÎżÏÎčÎżÏ€ÎżÎŻÎ·ÏƒÎ·Ï‚ της ÎșÎżÎčÎœÏ‰ÎœÎŻÎ±Ï‚, ΔπÎčΎρώΜτας σΔ ÎżÎčÎșÎżÎœÎżÎŒÎčÎșές,Ï€ÎżÎ»ÎčτÎčÎșές, Ï€ÎżÎ»ÎčτÎčστÎčÎșές ÎșαÎč ÎșÎżÎčΜωΜÎčÎșές ÎŽÎčÎ±ÏƒÏ„ÎŹÏƒÎ”Îčς. ÎŁÏ„Îż Î±Ï€ÏŒÎłÎ”ÎčÎż Î±Ï…Ï„ÎźÏ‚ τη Ï‚Ï€ÏÎ±ÎłÎŒÎŹÏ„Ï‰ÏƒÎ·Ï‚, ÏƒÎźÎŒÎ”ÏÎ±, ÎżÎ»ÎżÎ­ÎœÎ± ÎșαÎč πΔρÎčσσότΔρΔς ÎșαΞηΌΔρÎčΜές συσÎșΔυές ÏƒÏ…ÎœÎŽÎ­ÎżÎœÏ„Î±Îč ÏƒÏ„Îż Ï€Î±ÎłÎșόσΌÎčÎż Îčστό, Î±Ï€ÎżÎŽÎŻÎŽÎżÎœÏ„Î±Ï‚ Ï„ÎżÎœ ÏŒÏÎż «ΊΜτΔρΜΔτ τωΜ Ï€ÏÎ±ÎłÎŒÎŹÏ„Ï‰ÎœÂ».΀ο ÎŒÎ­Î»Î»ÎżÎœ ΔπÎčÏ†Ï…Î»ÎŹÏƒÏƒÎ”Îč τηΜ Ï€Î»ÎźÏÎ· σύΜΎΔση ÎșαÎč Î±Î»Î»Î·Î»Î”Ï€ÎŻÎŽÏÎ±ÏƒÎ· τωΜ ÏƒÏ…ÏƒÏ„Î·ÎŒÎŹÏ„Ï‰Îœ Ï€Î»Î·ÏÎżÏ†ÎżÏÎčÎșÎźÏ‚ ÎșαÎč ΔπÎčÎșÎżÎčΜωΜÎčώΜ ΌΔ Ï„ÎżÎœ φυσÎčÎșό ÎșÏŒÏƒÎŒÎż, ÎżÏÎčÎżÎžÎ”Ï„ÏŽÎœÏ„Î±Ï‚ τη ÎŒÎ”Ï„ÎŹÎČαση στα ÏƒÏ…ÏƒÏ„ÎźÎŒÎ±Ï„Î± φυσÎčÎșÎżÏ ÎșυÎČÎ”ÏÎœÎżÏ‡ÏŽÏÎżÏ… ÎșαÎč Ï€ÏÎżÏƒÏ†Î­ÏÎżÎœÏ„Î±Ï‚ ÎŒÎ”Ï„Î±Ï…Ï€Î·ÏÎ”ÏƒÎŻÎ”Ï‚ ÏƒÏ„ÎżÎœ φυσÎčÎșό ÎșÏŒÏƒÎŒÎż όπως Ï€ÏÎżÏƒÏ‰Ï€ÎżÏ€ÎżÎčηΌέΜη ÎčατρÎčÎșÎź Ï€Î”ÏÎŻÎžÎ±Î»ÏˆÎ·, Î±Ï…Ï„ÏŒÎœÎżÎŒÎ”Ï‚ ΌΔταÎșÎčÎœÎźÏƒÎ”Îčς, έΟυπΜΔς Î”ÎœÎ”ÏÎłÎ”ÎčαÎșÎŹ πόλΔÎčς Îș.α. . ÎŁÎșÎčÎ±ÎłÏÎ±Ï†ÏŽÎœÏ„Î±Ï‚ τÎčς Î±ÎœÎŹÎłÎșΔς Î±Ï…Ï„ÎźÏ‚ της ΎυΜαΌÎčÎșÎŹ ΔΟΔλÎčσσόΌΔΜης Î±ÎłÎżÏÎŹÏ‚, ÎżÎč ΌηχαΜÎčÎșοί Ï…Ï€ÎżÎ»ÎżÎłÎčστώΜ ÎșÎ±Î»ÎżÏÎœÏ„Î±Îč Μα Ï…Î»ÎżÏ€ÎżÎčÎźÏƒÎżÏ…Îœ Ï…Ï€ÎżÎ»ÎżÎłÎčστÎčÎșές πλατφόρΌΔς Ï€ÎżÏ… αφΔΜός Î”ÎœÏƒÏ‰ÎŒÎ±Ï„ÏŽÎœÎżÏ…Îœ αυΟηΌέΜη συστηΌÎčÎșÎź Ï€ÎżÎ»Ï…Ï€Î»ÎżÎșότητα ÎșαÎč Î±Ï†Î”Ï„Î­ÏÎżÏ… ÎșÎ±Î»ÏÏ€Ï„ÎżÏ…Îœ έΜα Δυρύ Ï†ÎŹÏƒÎŒÎ± ΌΔταχαραÎșτηρÎčστÎčÎșώΜ, όπως λ.χ. Ï„Îż ÎșÏŒÏƒÏ„ÎżÏ‚ σχΔΎÎčÎ±ÏƒÎŒÎżÏ, Îż Ï‡ÏÏŒÎœÎżÏ‚ σχΔΎÎčÎ±ÏƒÎŒÎżÏ, η αΟÎčÎżÏ€ÎčÏƒÏ„ÎŻÎ± ÎșαÎč η ΔπαΜαχρησÎčÎŒÎżÏ€ÎżÎŻÎ·ÏƒÎ·, τα ÎżÏ€ÎżÎŻÎ± Ï€ÏÎżÎŽÎčÎ±ÎłÏÎŹÏ†ÎżÎœÏ„Î±Îč από έΜα αΜτÎčÎșÏÎżÏ…ÏŒÎŒÎ”ÎœÎż ÏƒÏÎœÎżÎ»Îż λΔÎčÏ„ÎżÏ…ÏÎłÎčÎșώΜ, Ï„Î”Ï‡ÎœÎżÎ»ÎżÎłÎčÎșώΜ ÎșαÎč ÎșατασÎșΔυαστÎčÎșώΜ πΔρÎčÎżÏÎčσΌώΜ. Η Ï€Î±ÏÎżÏÏƒÎ± ÎŽÎčατρÎčÎČÎź ÏƒÏ„ÎżÏ‡Î”ÏÎ”Îč στηΜ αΜτÎčΌΔτώπÎčση τωΜ Ï€Î±ÏÎ±Ï€ÎŹÎœÏ‰ σχΔΎÎčαστÎčÎșώΜ Ï€ÏÎżÎșÎ»ÎźÏƒÎ”Ï‰Îœ, Όέσω της Î±ÎœÎŹÏ€Ï„Ï…ÎŸÎ·Ï‚ ÎŒÎ”ÎžÎżÎŽÎżÎ»ÎżÎłÎčώΜ ÎșαÎč Î”ÏÎłÎ±Î»Î”ÎŻÏ‰Îœ ÏƒÏ…ÎœÏƒÏ‡Î”ÎŽÎŻÎ±ÏƒÎ·Ï‚ υλÎčÎșÎżÏ/λογÎčσΌÎčÎșÎżÏ Ï€ÎżÏ… ΔπÎčÏ„ÏÎ­Ï€ÎżÏ…Îœ τηΜ Ï„Î±Ï‡Î”ÎŻÎ± Ï…Î»ÎżÏ€ÎżÎŻÎ·ÏƒÎ· ÎșαΞώς ÎșαÎč τηΜ Î±Ï€ÎżÎŽÎżÏ„ÎčÎșÎź σύΜΞΔση αρχÎčτΔÎșÏ„ÎżÎœÎčÎșώΜ λύσΔωΜ, ÎżÎč ÎżÏ€ÎżÎŻÎ”Ï‚ Ï€ÏÎżÎŽÎčÎ±ÎłÏÎŹÏ†ÎżÏ…Îœ τα ΌΔτα-χαραÎșτηρÎčστÎčÎșÎŹ λΔÎčÏ„ÎżÏ…ÏÎłÎŻÎ±Ï‚ Ï€ÎżÏ… απαÎčÏ„Î”ÎŻ η ÏƒÏÎłÏ‡ÏÎżÎœÎ· Î±ÎłÎżÏÎŹ. ÎŁÏ…ÎłÎșΔÎșρÎčΌέΜα, στα Ï€Î»Î±ÎŻÏƒÎčα Î±Ï…Ï„ÎźÏ‚ της ÎŽÎčατρÎčÎČÎźÏ‚, Ï€Î±ÏÎżÏ…ÏƒÎčÎŹÎ¶ÎżÎœÏ„Î±Îč α) ÎŒÎ”ÎžÎżÎŽÎżÎ»ÎżÎłÎŻÎ”Ï‚ ΔπÎčÏ„ÎŹÏ‡Ï…ÎœÏƒÎ·Ï‚ της ÏÎżÎźÏ‚ σχΔΎÎčÎ±ÏƒÎŒÎżÏ Ï„ÏŒÏƒÎż ÎłÎčα ΔπαΜαΎÎčÎ±ÎŒÎżÏÏ†ÎżÏÎŒÎ”ÎœÎ”Ï‚ ÏŒÏƒÎż ÎșαÎč ÎłÎčα ΔΟΔÎčÎŽÎčÎșΔυΌέΜΔς αρχÎčτΔÎșÏ„ÎżÎœÎčÎșές, ÎČ) Î”Ï„Î”ÏÎżÎłÎ”ÎœÎź Î±ÎŽÏÎżÎŒÎ”ÏÎź αρχÎčτΔÎșÏ„ÎżÎœÎčÎșÎŹ πρότυπα ΔπÎčÏ„ÎŹÏ‡Ï…ÎœÏƒÎ·Ï‚ Î”Ï€Î”ÎŸÎ”ÏÎłÎ±ÏƒÎŻÎ±Ï‚ ÎșαÎč ΔπÎčÎșÎżÎčÎœÏ‰ÎœÎŻÎ±Ï‚ ÎșαÎč Îł) Î±Ï€ÎżÎŽÎżÏ„ÎčÎșές τΔχΜÎčÎșές Ï€ÎżÎ»Ï…ÎșρÎčτηρÎčαÎșÎźÏ‚ σύΜΞΔσης Ï„ÏŒÏƒÎż σΔ υψηλό αφαÎčρΔτÎčÎșό Î”Ï€ÎŻÏ€Î”ÎŽÎż Ï€ÏÎżÎłÏÎ±ÎŒÎŒÎ±Ï„ÎčÏƒÎŒÎżÏ,ÏŒÏƒÎż ÎșαÎč σΔ φυσÎčÎșό Î”Ï€ÎŻÏ€Î”ÎŽÎż πυρÎčÏ„ÎŻÎżÏ….Î‘ÎœÎ±Ï†ÎżÏÎčÎșÎŹ Ï€ÏÎżÏ‚ τηΜ ΔπÎčÏ„ÎŹÏ‡Ï…ÎœÏƒÎ· της ÏÎżÎźÏ‚ σχΔΎÎčÎ±ÏƒÎŒÎżÏ, Ï€ÏÎżÏ„Î”ÎŻÎœÎ”Ï„Î±Îč ÎŒÎčα ÎŒÎ”ÎžÎżÎŽÎżÎ»ÎżÎłÎŻÎ± Ï€ÎżÏ… χρησÎčÎŒÎżÏ€ÎżÎčΔί ΔÎčÎșÎżÎœÎčÎșές πλατφόρΌΔς, ÎżÎč ÎżÏ€ÎżÎŻÎ”Ï‚ αφαÎčρώΜτας τÎčς αρχÎčτΔÎșÏ„ÎżÎœÎčÎșές Î»Î”Ï€Ï„ÎżÎŒÎ­ÏÎ”ÎčΔς ÎșÎ±Ï„Î±Ï†Î­ÏÎœÎżÏ…Îœ Μα ΌΔÎčÏŽÏƒÎżÏ…Îœ σηΌαΜτÎčÎșÎŹ Ï„Îż Ï‡ÏÏŒÎœÎż Î”ÎŸÎżÎŒÎżÎŻÏ‰ÏƒÎ·Ï‚. Î Î±ÏÎŹÎ»Î»Î·Î»Î±, ΔÎčÏƒÎ·ÎłÎ”ÎŻÏ„Î±Îč η συστηΌÎčÎșÎź συΜ-Î”ÎŸÎżÎŒÎżÎŻÏ‰ÏƒÎ· ΌΔ τη Ï‡ÏÎźÏƒÎ· ΔπαΜαΎÎčÎ±ÎŒÎżÏÏ†ÎżÏÎŒÎ”ÎœÏ‰Îœ Ï€Î»Î±Ï„Ï†ÎżÏÎŒÏŽÎœ, ως ΌέσωΜ ΔπÎčÏ„ÎŹÏ‡Ï…ÎœÏƒÎ·Ï‚. ΜΔ αυτόΜ Ï„ÎżÎœ Ï„ÏÏŒÏ€Îż, Îż ÎșύÎșÎ»ÎżÏ‚ Î±ÎœÎŹÏ€Ï„Ï…ÎŸÎ·Ï‚ ΔΜός Ï€ÏÎżÏŠÏŒÎœÏ„ÎżÏ‚ υλÎčÎșÎżÏ, ΌΔτατΔΞΔÎčÎŒÎ­ÎœÎżÏ‚ από τηΜ ÎșÎŹÎžÎ”Ï„Î· σΔÎčρÎčαÎșÎź ÏÎżÎź σΔ έΜαΜ ÎșυÎșλÎčÎșό αλληλΔπÎčΎραστÎčÎșό ÎČÏÏŒÎłÏ‡Îż, ÎșÎ±ÎžÎŻÏƒÏ„Î±Ï„Î±Îč Ï„Î±Ï‡ÏÏ„Î”ÏÎżÏ‚, ΔΜώ ÎżÎč ΎυΜατότητΔς Ï€ÏÎżÏƒÎżÎŒÎżÎŻÏ‰ÏƒÎ·Ï‚ Î”ÎŒÏ€Î»ÎżÏ…Ï„ÎŻÎ¶ÎżÎœÏ„Î±Îč ΌΔ Î±Ï€ÎżÎŽÎżÏ„ÎčÎșότΔρΔς ÎŒÎ”ÎžÏŒÎŽÎżÏ…Ï‚ Î”ÎœÏ„ÎżÏ€ÎčÏƒÎŒÎżÏ ÎșαÎč ÎŽÎčόρΞωσης σχΔΎÎčαστÎčÎșώΜ ÏƒÏ†Î±Î»ÎŒÎŹÏ„Ï‰Îœ, ÎșαΞώς ÎșαÎč ÎŒÎ”ÎžÏŒÎŽÎżÏ…Ï‚ Î”Î»Î­ÎłÏ‡ÎżÏ… τωΜ ΌΔτρÎčÎșώΜ Î±Ï€ÏŒÎŽÎżÏƒÎ·Ï‚ Ï„ÎżÏ… ÏƒÏ…ÏƒÏ„ÎźÎŒÎ±Ï„ÎżÏ‚ σΔ σχέση ΌΔ τÎčς ΔπÎčΞυΌητές Ï€ÏÎżÎŽÎčÎ±ÎłÏÎ±Ï†Î­Ï‚, σΔ όλΔς τÎčς Ï†ÎŹÏƒÎ”Îčς Î±ÎœÎŹÏ€Ï„Ï…ÎŸÎ·Ï‚ Ï„ÎżÏ… ÏƒÏ…ÏƒÏ„ÎźÎŒÎ±Ï„ÎżÏ‚. ΣΔ ÎżÏÎžÎżÎłÏŽÎœÎčα ÏƒÏ…ÎœÎŹÏ†Î”Îčα ΌΔ Ï„Îż Ï€ÏÎżÎ±ÎœÎ±Ï†Î”ÏÎžÎ­Îœ ÎŒÎ”ÎžÎżÎŽÎżÎ»ÎżÎłÎčÎșό Ï€Î»Î±ÎŻÏƒÎčÎż, Ï€ÏÎżÏ„Î”ÎŻÎœÎżÎœÏ„Î±Îč Μέα αρχÎčτΔÎșÏ„ÎżÎœÎčÎșÎŹ πρότυπα Ï€ÎżÏ… ÏƒÏ„ÎżÏ‡Î”ÏÎżÏ…Îœ στη ÎłÎ”Ï†ÏÏÏ‰ÏƒÎ· Ï„ÎżÏ… Ï‡ÎŹÏƒÎŒÎ±Ï„ÎżÏ‚ ΌΔταΟύ της σχΔΎÎčαστÎčÎșÎźÏ‚ Ï€ÎżÎ»Ï…Ï€Î»ÎżÎșότητας ÎșαÎč της Ï„Î”Ï‡ÎœÎżÎ»ÎżÎłÎčÎșÎźÏ‚ Ï€Î±ÏÎ±ÎłÏ‰ÎłÎčÎșότητας, ΌΔ τη Ï‡ÏÎźÏƒÎ· ÏƒÏ…ÏƒÏ„Î·ÎŒÎŹÏ„Ï‰Îœ ΔΟΔÎčÎŽÎčÎșΔυΌέΜωΜ ΔπÎčταχυΜτώΜ υλÎčÎșÎżÏ σΔ Î”Ï„Î”ÏÎżÎłÎ”ÎœÎź ÏƒÏ…ÏƒÏ„ÎźÎŒÎ±Ï„Î±-σΔ-ÏˆÎ·Ï†ÎŻÎŽÎ± ÎșαΞώς ÎșαÎč ÎŽÎŻÎșτυα-σΔ-ÏˆÎ·Ï†ÎŻÎŽÎ±. Î Î±ÏÎżÏ…ÏƒÎčÎŹÎ¶Î”Ï„Î±Îč ÎșÎ±Ï„ÎŹÎ»Î»Î·Î»Î· ÎŒÎ”ÎžÎżÎŽÎżÎ»ÎżÎłÎŻÎ± συΜ-ÏƒÏ‡Î”ÎŽÎŻÎ±ÏƒÎ·Ï‚ τωΜ ΔπÎčταχυΜτώΜ υλÎčÎșÎżÏ ÎșαÎč Ï„ÎżÏ… λογÎčσΌÎčÎșÎżÏ Ï€ÏÎżÎșΔÎčÎŒÎ­ÎœÎżÏ… Μα Î±Ï€ÎżÏ†Î±ÏƒÎčÏƒÎžÎ”ÎŻ η ÎșÎ±Ï„Î±ÎœÎżÎŒÎź τωΜ Î”ÏÎłÎ±ÏƒÎčώΜ ÏƒÏ„ÎżÏ…Ï‚ ÎŽÎčαΞέσÎčÎŒÎżÏ…Ï‚ Ï€ÏŒÏÎżÏ…Ï‚ Ï„ÎżÏ… ÏƒÏ…ÏƒÏ„ÎźÎŒÎ±Ï„ÎżÏ‚/ÎŽÎčÎșÏ„ÏÎżÏ…. ΀ο ÎŒÎ”ÎžÎżÎŽÎżÎ»ÎżÎłÎčÎșό Ï€Î»Î±ÎŻÏƒÎčÎż Ï€ÏÎżÎČλέπΔÎč τηΜ Ï…Î»ÎżÏ€ÎżÎŻÎ·ÏƒÎ· τωΜ ΔπÎčταχυΜτώΜ Î”ÎŻÏ„Î” ΌΔ συΌÎČατÎčÎșές ÎŒÎ”ÎžÏŒÎŽÎżÏ…Ï‚ Ï€ÏÎżÎłÏÎ±ÎŒÎŒÎ±Ï„ÎčÏƒÎŒÎżÏ σΔ ÎłÎ»ÏŽÏƒÏƒÎ± πΔρÎčÎłÏÎ±Ï†ÎźÏ‚ υλÎčÎșÎżÏ Î”ÎŻÏ„Î” ΌΔ αφαÎčρΔτÎčÎșό Ï€ÏÎżÎłÏÎ±ÎŒÎŒÎ±Ï„ÎčστÎčÎșό ÎŒÎżÎœÏ„Î­Î»Îż ΌΔ τη Ï‡ÏÎźÏƒÎ· τΔχΜÎčÎșώΜ Ï…ÏˆÎ·Î»ÎżÏ ΔπÎčÏ€Î­ÎŽÎżÏ… σύΜΞΔσης. ΣΔ ÎșΏΞΔ Ï€Î”ÏÎŻÏ€Ï„Ï‰ÏƒÎ·, ÎŽÎŻÎŽÎ”Ï„Î±Îč η ΎυΜατότητα ÏƒÏ„Îż σχΔΎÎčÎ±ÏƒÏ„Îź ÎłÎčα ÎČΔλτÎčÏƒÏ„ÎżÏ€ÎżÎŻÎ·ÏƒÎ· συστηΌÎčÎșώΜ ΌΔτρÎčÎșώΜ, όπως η ταχύτητα Î”Ï€Î”ÎŸÎ”ÏÎłÎ±ÏƒÎŻÎ±Ï‚, η ÏÏ…ÎžÎŒÎ±Ï€ÏŒÎŽÎżÏƒÎ·, η αΟÎčÎżÏ€ÎčÏƒÏ„ÎŻÎ±, η ÎșÎ±Ï„Î±ÎœÎŹÎ»Ï‰ÏƒÎ· Î”ÎœÎ­ÏÎłÎ”Îčας ÎșαÎč η ΔπÎčÏ†ÎŹÎœÎ”Îčα πυρÎčÏ„ÎŻÎżÏ… Ï„ÎżÏ… σχΔΎÎčÎ±ÏƒÎŒÎżÏ. Î€Î­Î»ÎżÏ‚, Ï€ÏÎżÎșΔÎčÎŒÎ­ÎœÎżÏ… Μα αΜτÎčΌΔτωπÎčÏƒÎžÎ”ÎŻ η αυΟηΌέΜη Ï€ÎżÎ»Ï…Ï€Î»ÎżÎșότητα στα σχΔΎÎčαστÎčÎșÎŹ Î”ÏÎłÎ±Î»Î”ÎŻÎ± ΔπαΜαΎÎčÎ±ÎŒÎżÏÏ†ÎżÏÎŒÎ”ÎœÏ‰Îœ ÏƒÏ…ÏƒÏ„Î·ÎŒÎŹÏ„Ï‰Îœ, Ï€ÏÎżÏ„Î”ÎŻÎœÎżÎœÏ„Î±Îč ÎœÎ­ÎżÎč ΔΟΔλÎčÎșτÎčÎșοί Î±Î»ÎłÏŒÏÎčÎžÎŒÎżÎč Ï€ÎżÎ»Ï…ÎșρÎčτηρÎčαÎșÎźÏ‚ ÎČΔλτÎčÏƒÏ„ÎżÏ€ÎżÎŻÎ·ÏƒÎ·Ï‚, ÎżÎč ÎżÏ€ÎżÎŻÎżÎč ΔÎșÎŒÎ”Ï„Î±Î»Î»Î”Ï…ÏŒÎŒÎ”ÎœÎżÎč Ï„ÎżÏ…Ï‚ ÏƒÏÎłÏ‡ÏÎżÎœÎżÏ…Ï‚ Ï€ÎżÎ»Ï…Ï€ÏÏÎ·ÎœÎżÏ…Ï‚ Î”Ï€Î”ÎŸÎ”ÏÎłÎ±ÏƒÏ„Î­Ï‚ ÎșαÎč τηΜ Î±ÎŽÏÎżÎŒÎ”ÏÎź φύση τωΜ Ï€ÎżÎ»Ï…ÎœÎ·ÎŒÎ±Ï„ÎčÎșώΜ πΔρÎčÎČαλλόΜτωΜ Ï€ÏÎżÎłÏÎ±ÎŒÎŒÎ±Ï„ÎčÏƒÎŒÎżÏ (π.χ. OpenMP), ΌΔÎčÏŽÎœÎżÏ…Îœ Ï„Îż Ï‡ÏÏŒÎœÎż Î”Ï€ÎŻÎ»Ï…ÏƒÎ·Ï‚ Ï„ÎżÏ… Ï€ÏÎżÎČÎ»ÎźÎŒÎ±Ï„ÎżÏ‚ της Ï„ÎżÏ€ÎżÎžÎ­Ï„Î·ÏƒÎ·Ï‚ τωΜ λογÎčÎșώΜ πόρωΜ σΔ φυσÎčÎșÎżÏÏ‚,ΔΜώ Ï„Î±Ï…Ï„ÏŒÏ‡ÏÎżÎœÎ±, ÎżÎŒÎ±ÎŽÎżÏ€ÎżÎčώΜτας τÎčς Î”Ï†Î±ÏÎŒÎżÎłÎ­Ï‚ ÎČÎŹÏƒÎ· τωΜ Î”ÎłÎłÎ”ÎœÏŽÎœ χαραÎșτηρÎčστÎčÎșώΜ Ï„ÎżÏ…Ï‚, ÎŽÎčÎ”ÏÎ”Ï…ÎœÎżÏÎœ Î±Ï€ÎżÏ„Î”Î»Î”ÏƒÎŒÎ±Ï„ÎčÎșότΔρα Ï„Îż Ï‡ÏŽÏÎż ÏƒÏ‡Î”ÎŽÎŻÎ±ÏƒÎ·Ï‚.Η Î±Ï€ÎżÎŽÎżÏ„ÎčÎșÏŒÏ„Î·Ï„ÎŹ τωΜ Ï€ÏÎżÏ„Î”ÎčΜόΌΔΜωΜ αρχÎčτΔÎșÏ„ÎżÎœÎčÎșώΜ Ï€ÏÎżÏ„ÏÏ€Ï‰Îœ ÎșαÎč ÎŒÎ”ÎžÎżÎŽÎżÎ»ÎżÎłÎčώΜ ΔπαληΞΔύτηÎșΔ σΔ σχέση ΌΔ τÎčς υφÎčÏƒÏ„ÎŹÎŒÎ”ÎœÎ”Ï‚ λύσΔÎčς αÎčÏ‡ÎŒÎźÏ‚ Ï„ÏŒÏƒÎż σΔ Î±Ï…Ï„ÎżÏ„Î”Î»ÎźÏ‚ Î”Ï†Î±ÏÎŒÎżÎłÎ­Ï‚, όπως η ψηφÎčαÎșÎź Î”Ï€Î”ÎŸÎ”ÏÎłÎ±ÏƒÎŻÎ± ÏƒÎźÎŒÎ±Ï„ÎżÏ‚, τα Ï€ÎżÎ»Ï…ÎŒÎ­ÏƒÎ± ÎșαÎč τα Ï€ÏÎżÎČÎ»ÎźÎŒÎ±Ï„Î± αρÎčΞΌητÎčÎșÎźÏ‚ Ï€ÎżÎ»Ï…Ï€Î»ÎżÎșότητας, ÎșαΞώς ÎșαÎč σΔ συστηΌÎčÎșÎŹ Î”Ï„Î”ÏÎżÎłÎ”ÎœÎź πΔρÎčÎČÎŹÎ»Î»ÎżÎœÏ„Î±, όπως έΜα σύστηΌα όρασης Ï…Ï€ÎżÎ»ÎżÎłÎčστώΜ ÎłÎčα Î±Ï…Ï„ÏŒÎœÎżÎŒÎ± ÎŽÎčαστηΌÎčÎșÎŹ ÏÎżÎŒÏ€ÎżÏ„ÎčÎșÎŹ ÎżÏ‡ÎźÎŒÎ±Ï„Î± ÎșαÎč έΜα σύστηΌα Ï€ÎżÎ»Î»Î±Ï€Î»ÏŽÎœ ΔπÎčταχυΜτώΜ υλÎčÎșÎżÏ ÎłÎčα ÏƒÏ„Î±ÎžÎŒÎżÏÏ‚ Î”ÏÎłÎ±ÏƒÎŻÎ±Ï‚ ÎșαÎč ÎșέΜτρα ÎŽÎ”ÎŽÎżÎŒÎ­ÎœÏ‰Îœ, ÏƒÏ„ÎżÏ‡Î”ÏÎżÎœÏ„Î±Ï‚ Î”Ï†Î±ÏÎŒÎżÎłÎ­Ï‚ Ï…ÏˆÎ·Î»ÎźÏ‚ Ï…Ï€ÎżÎ»ÎżÎłÎčστÎčÎșÎźÏ‚ Î±Ï€ÏŒÎŽÎżÏƒÎ·Ï‚ (HPC). ΀α Î±Ï€ÎżÏ„Î”Î»Î­ÏƒÎŒÎ±Ï„Î± ΔΜÎčÏƒÏ‡ÏÎżÏ…Îœ τηΜ Ï€Î”Ï€ÎżÎŻÎžÎ·ÏƒÎ· Ï„ÎżÏ… ÎłÏÎŹÏ†ÎżÎœÏ„Î±, ότÎč η Ï€Î±ÏÎżÏÏƒÎ± ÎŽÎčατρÎčÎČÎź παρέχΔÎč Î±ÎœÏ„Î±ÎłÏ‰ÎœÎčστÎčÎșÎź Ï„Î”Ï‡ÎœÎżÎłÎœÏ‰ÏƒÎŻÎ± ÎłÎčα τηΜ αΜτÎčΌΔτώπÎčση τωΜ Ï€ÎżÎ»ÏÏ€Î»ÎżÎșωΜ ÏƒÏÎłÏ‡ÏÎżÎœÏ‰Îœ ÎșαÎč Ï€ÏÎżÎČλΔπόΌΔΜα ÎŒÎ”Î»Î»ÎżÎœÏ„ÎčÎșώΜ σχΔΎÎčαστÎčÎșώΜ Ï€ÏÎżÎșÎ»ÎźÏƒÎ”Ï‰Îœ

    Embedded electronic systems driven by run-time reconfigurable hardware

    Get PDF
    Abstract This doctoral thesis addresses the design of embedded electronic systems based on run-time reconfigurable hardware technology –available through SRAM-based FPGA/SoC devices– aimed at contributing to enhance the life quality of the human beings. This work does research on the conception of the system architecture and the reconfiguration engine that provides to the FPGA the capability of dynamic partial reconfiguration in order to synthesize, by means of hardware/software co-design, a given application partitioned in processing tasks which are multiplexed in time and space, optimizing thus its physical implementation –silicon area, processing time, complexity, flexibility, functional density, cost and power consumption– in comparison with other alternatives based on static hardware (MCU, DSP, GPU, ASSP, ASIC, etc.). The design flow of such technology is evaluated through the prototyping of several engineering applications (control systems, mathematical coprocessors, complex image processors, etc.), showing a high enough level of maturity for its exploitation in the industry.Resumen Esta tesis doctoral abarca el diseño de sistemas electrĂłnicos embebidos basados en tecnologĂ­a hardware dinĂĄmicamente reconfigurable –disponible a travĂ©s de dispositivos lĂłgicos programables SRAM FPGA/SoC– que contribuyan a la mejora de la calidad de vida de la sociedad. Se investiga la arquitectura del sistema y del motor de reconfiguraciĂłn que proporcione a la FPGA la capacidad de reconfiguraciĂłn dinĂĄmica parcial de sus recursos programables, con objeto de sintetizar, mediante codiseño hardware/software, una determinada aplicaciĂłn particionada en tareas multiplexadas en tiempo y en espacio, optimizando asĂ­ su implementaciĂłn fĂ­sica –área de silicio, tiempo de procesado, complejidad, flexibilidad, densidad funcional, coste y potencia disipada– comparada con otras alternativas basadas en hardware estĂĄtico (MCU, DSP, GPU, ASSP, ASIC, etc.). Se evalĂșa el flujo de diseño de dicha tecnologĂ­a a travĂ©s del prototipado de varias aplicaciones de ingenierĂ­a (sistemas de control, coprocesadores aritmĂ©ticos, procesadores de imagen, etc.), evidenciando un nivel de madurez viable ya para su explotaciĂłn en la industria.Resum Aquesta tesi doctoral estĂ  orientada al disseny de sistemes electrĂČnics empotrats basats en tecnologia hardware dinĂ micament reconfigurable –disponible mitjançant dispositius lĂČgics programables SRAM FPGA/SoC– que contribueixin a la millora de la qualitat de vida de la societat. S’investiga l’arquitectura del sistema i del motor de reconfiguraciĂł que proporcioni a la FPGA la capacitat de reconfiguraciĂł dinĂ mica parcial dels seus recursos programables, amb l’objectiu de sintetitzar, mitjançant codisseny hardware/software, una determinada aplicaciĂł particionada en tasques multiplexades en temps i en espai, optimizant aixĂ­ la seva implementaciĂł fĂ­sica –àrea de silici, temps de processat, complexitat, flexibilitat, densitat funcional, cost i potĂšncia dissipada– comparada amb altres alternatives basades en hardware estĂ tic (MCU, DSP, GPU, ASSP, ASIC, etc.). S’evalĂșa el fluxe de disseny d’aquesta tecnologia a travĂ©s del prototipat de varies aplicacions d’enginyeria (sistemes de control, coprocessadors aritmĂštics, processadors d’imatge, etc.), demostrant un nivell de maduresa viable ja per a la seva explotaciĂł a la indĂșstria

    Many-core architectures with time predictable execution Support for hard real-time applications

    Get PDF
    Thesis (Ph. D.)--Massachusetts Institute of Technology, Dept. of Electrical Engineering and Computer Science, 2013.Cataloged from PDF version of thesis.Includes bibliographical references (p. 183-193).Hybrid control systems are a growing domain of application. They are pervasive and their complexity is increasing rapidly. Distributed control systems for future "Intelligent Grid" and renewable energy generation systems are demanding high-performance, hard real-time computation, and more programmability. General-purpose computer systems are primarily designed to process data and not to interact with physical processes as required by these systems. Generic general-purpose architectures even with the use of real-time operating systems fail to meet the hard realtime constraints of hybrid system dynamics. ASIC, FPGA, or traditional embedded design approaches to these systems often result in expensive, complicated systems that are hard to program, reuse, or maintain. In this thesis, we propose a domain-specific architecture template targeting hybrid control system applications. Using power electronics control applications, we present new modeling techniques, synthesis methodologies, and a parameterizable computer architecture for these large distributed control systems. We propose a new system modeling approach, called Adaptive Hybrid Automaton, based on previous work in control system theory, that uses a mixed-model abstractions and lends itself well to digital processing. We develop a domain-specific architecture based on this modeling that uses heterogeneous processing units and predictable execution, called MARTHA. We develop a hard real-time aware router architecture to enable deterministic on-chip interconnect network communication. We present several algorithms for scheduling task-based applications onto these types of heterogeneous architectures. We create Heracles, an open-source, functional, parameterized, synthesizable many-core system design toolkit, that can be used to explore future multi/many-core processors with different topologies, routing schemes, processing elements or cores, and memory system organizations. Using the Heracles design tool we build a prototype of the proposed architecture using a state-of-the-art FPGA-based platform, and deploy and test it in actual physical power electronics systems. We develop and release an open-source, small representative set of power electronics system applications that can be used for hard real-time application benchmarking.by Michel A. Kinsy.Ph.D

    Erreichen von Performance in Netzwerken-On-Chip fĂŒr Echtzeitsysteme

    Get PDF
    In many new applications, such as in automatic driving, high performance requirements have reached safety critical real-time systems. Consequently, Networks-on-Chip (NoCs) must efficiently host new sets of highly dynamic workloads e.g., high resolution sensor fusion and data processing, autonomous decision’s making combined with machine learning. The static platform management, as used in current safety critical systems, is no more sufficient to provide the needed level of service. A dynamic platform management could meet the challenge, but it usually suffers from a lack of predictability and the simplicity necessary for certification of safety and real-time properties. In this work, we propose a novel, global and dynamic arbitration for NoCs with real-time QoS requirements. The mechanism decouples the admission control from arbitration in routers thereby simplifying a dynamic adaptation and real-time analysis. Consequently, the proposed solution allows the deployment of a sophisticated contract-based QoS provisioning without introducing complicated and hard to maintain schemes, known from the frequently applied static arbiters. The presented work introduces an overlay network to synchronize transmissions using arbitration units called Resource Managers (RMs), which allows global and work-conserving scheduling. The description of resource allocation strategies is supplemented by protocol design and verification methodology bringing adaptive control to NoC communication in setups with different QoS requirements and traffic classes. For doing that, a formal worst-case timing analysis for the mechanism has been proposed which demonstrates that this solution not only exposes higher performance in simulation but, even more importantly, consistently reaches smaller formally guaranteed worst-case latencies than other strategies for realistic levels of system's utilization. The approach is not limited to a specific network architecture or topology as the mechanism does not require modifications of routers and therefore can be used together with the majority of existing manycore systems. Indeed, the evaluation followed using the generic performance optimized router designs, as well as two systems-on-chip focused on real-time deployments. The results confirmed that the proposed approach proves to exhibit significantly higher average performance in simulation and execution.In vielen neuen sicherheitskritische Anwendungen, wie z.B. dem automatisierten Fahren, werden große Anforderungen an die Leistung von Echtzeitsysteme gestellt. Daher mĂŒssen Networks-on-Chip (NoCs) neue, hochdynamische Workloads wie z.B. hochauflösende Sensorfusion und Datenverarbeitung oder autonome Entscheidungsfindung kombiniert mit maschineller Lernen, effizient auf einem System unterbringen. Die Steuerung der zugrunde liegenden NoC-Architektur, muss die Systemsicherheit vor Fehlern, resultierend aus dem dynamischen Verhalten des Systems schĂŒtzen und gleichzeitig die geforderte Performance bereitstellen. In dieser Arbeit schlagen wir eine neuartige, globale und dynamische Steuerung fĂŒr NoCs mit Echtzeit QoS Anforderungen vor. Das Schema entkoppelt die Zutrittskontrolle von der Arbitrierung in Routern. Hierdurch wird eine dynamische Anpassung ermöglicht und die Echtzeitanalyse vereinfacht. Der Einsatz einer ausgefeilten vertragsbasierten Ressourcen-Zuweisung wird so ermöglicht, ohne komplexe und schwer wartbare Mechanismen, welche bereits aus dem statischen Plattformmanagement bekannt sind einzufĂŒhren. Diese Arbeit stellt ein ĂŒbergelagertes Netzwerk vor, welches Übertragungen mit Hilfe von Arbitrierungseinheiten, den so genannten Resource Managern (RMs), synchronisiert. Dieses ĂŒberlagerte Netzwerk ermöglicht eine globale und lasterhaltende Steuerung. Die Beschreibung verschiedener Ressourcenzuweisungstrategien wird ergĂ€nzt durch ein Protokolldesign und Methoden zur Verifikation der adaptiven NoC Steuerung mit unterschiedlichen QoS Anforderungen und Verkehrsklassen. HierfĂŒr wird eine formale Worst Case Timing Analyse prĂ€sentiert, welche das vorgestellte Verfahren abbildet. Die Resultate bestĂ€titgen, dass die prĂ€sentierte Lösung nicht nur eine höhere Performance in der Simulation bietet, sondern auch formal kleinere Worst-Case Latenzen fĂŒr realistische Systemauslastungen als andere Strategien garantiert. Der vorgestellte Ansatz ist nicht auf eine bestimmte Netzwerkarchitektur oder Topologie beschrĂ€nkt, da der Mechanismus keine Änderungen an den unterliegenden Routern erfordert und kann daher zusammen mit bestehenden Manycore-Systemen eingesetzt werden. Die Evaluierung erfolgte auf Basis eines leistungsoptimierten Router-Designs sowie zwei auf Echtzeit-Anwendungen fokusierten Platformen. Die Ergebnisse bestĂ€tigten, dass der vorgeschlagene Ansatz im Durchschnitt eine deutlich höhere Leistung in der Simulation und AusfĂŒhrung liefert

    A framework for the dynamic management of Peer-to-Peer overlays

    Get PDF
    Peer-to-Peer (P2P) applications have been associated with inefficient operation, interference with other network services and large operational costs for network providers. This thesis presents a framework which can help ISPs address these issues by means of intelligent management of peer behaviour. The proposed approach involves limited control of P2P overlays without interfering with the fundamental characteristics of peer autonomy and decentralised operation. At the core of the management framework lays the Active Virtual Peer (AVP). Essentially intelligent peers operated by the network providers, the AVPs interact with the overlay from within, minimising redundant or inefficient traffic, enhancing overlay stability and facilitating the efficient and balanced use of available peer and network resources. They offer an “insider‟s” view of the overlay and permit the management of P2P functions in a compatible and non-intrusive manner. AVPs can support multiple P2P protocols and coordinate to perform functions collectively. To account for the multi-faceted nature of P2P applications and allow the incorporation of modern techniques and protocols as they appear, the framework is based on a modular architecture. Core modules for overlay control and transit traffic minimisation are presented. Towards the latter, a number of suitable P2P content caching strategies are proposed. Using a purpose-built P2P network simulator and small-scale experiments, it is demonstrated that the introduction of AVPs inside the network can significantly reduce inter-AS traffic, minimise costly multi-hop flows, increase overlay stability and load-balancing and offer improved peer transfer performance
    corecore