209 research outputs found

    Digitally-Enhanced Software-Defined Radio Receiver Robust to Out-of-Band Interference

    Get PDF
    A software-defined radio (SDR) receiver with improved robustness to out-of-band interference (OBI) is presented. Two main challenges are identified for an OBI-robust SDR receiver: out-of-band nonlinearity and harmonic mixing. Voltage gain at RF is avoided, and instead realized at baseband in combination with low-pass filtering to mitigate blockers and improve out-of-band IIP3. Two alternative “iterative” harmonic-rejection (HR) techniques are presented to achieve high HR robust to mismatch: a) an analog two-stage polyphase HR concept, which enhances the HR to more than 60 dB; b) a digital adaptive interference cancelling (AIC) technique, which can suppress one dominating harmonic by at least 80 dB. An accurate multiphase clock generator is presented for a mismatch-robust HR. A proof-of-concept receiver is implemented in 65 nm CMOS. Measurements show 34 dB gain, 4 dB NF, and 3.5 dBm in-band IIP3 while the out-of-band IIP3 is + 16 dBm without fine tuning. The measured RF bandwidth is up to 6 GHz and the 8-phase LO works up to 0.9 GHz (master clock up to 7.2 GHz). At 0.8 GHz LO, the analog two-stage polyphase HR achieves a second to sixth order HR > dB over 40 chips, while the digital AIC technique achieves HR > 80 dB for the dominating harmonic. The total power consumption is 50 mA from a 1.2 V supply

    High-speed equalization and transmission in electrical interconnections

    Get PDF
    The relentless growth of data traffic and increasing digital signal processing capabilities of integrated circuits (IC) are demanding ever faster chip-to-chip / chip-to-module serial electrical interconnects. As data rates increase, the signal quality after transmission over printed circuit board (PCB) interconnections is severely impaired. Frequency-dependent loss and crosstalk noise lead to a reduced eye opening, a reduced signal-to-noise ratio and an increased inter-symbol interference (ISI). This, in turn, requires the use of improved signal processing or PCB materials, in order to overcome the bandwidth (BW) limitations and to improve signal integrity. By applying an optimal combination of equalizer and receiver electronics together with BW-efficient modulation schemes, the transmission rate over serial electrical interconnections can be pushed further. At the start of this research, most industrial backplane connectors, meeting the IEEE and OIF specifications such as manufactured by e.g. FCI or TE connectivity, had operational capabilities of up to 25 Gb/s. This research was mainly performed under the IWT ShortTrack project. The goal of this research was to increase the transmission speed over electrical backplanes up to 100 Gb/s per channel for next-generation telecom systems and data centers. This requirement greatly surpassed the state-ofthe-art reported in previous publications, considering e.g. 25 Gb/s duobinary and 42.8 Gb/s PAM-4 transmission over a low-loss Megtron 6 electrical backplane using off-line processing. The successful implementation of the integrated transmitter (TX) and receiver (RX) (1) , clearly shows the feasibility of single lane interconnections beyond 80 Gb/s and opens the potential of realizing industrial 100 Gb/s links using a recent IC technology process. Besides the advancement of the state-of-the-art in the field of high-speed transceivers and backplane transmission systems, which led to several academic publications, the output of this work also attracts a lot of attention from the industry, showing the potential to commercialize the developed chipset and technologies used in this research for various applications: not only in high-speed electrical transmission links, but also in high-speed opto-electronic communications such as access, active optical cables and optical backplanes. In this dissertation, the background of this research, an overview of this work and the thesis organization are illustrated in Chapter 1. In Chapter 2, a system level analysis is presented, showing that the channel losses are limiting the transmission speed over backplanes. In order to enhance the serial data rate over backplanes and to eliminate the signal degradation, several technologies are discussed, such as signal equalization and modulation techniques. First, a prototype backplane channel, from project partner FCI, implemented with improved backplane connectors is characterized. Second, an integrated transversal filter as a feed-forward equalizer (FFE) is selected to perform the signal equalization, based on a comprehensive consideration of the backplane channel performance, equalization capabilities, implementation complexity and overall power consumption. NRZ, duobinary and PAM-4 are the three most common modulation schemes for ultra-high speed electrical backplane communication. After a system-level simulation and comparison, the duobinary format is selected due to its high BW efficiency and reasonable circuit complexity. Last, different IC technology processes are compared and the ST microelectronics BiCMOS9MW process (featuring a fT value of over 200 GHz) is selected, based on a trade-off between speed and chip cost. Meanwhile it also has a benefit for providing an integrated microstrip model, which is utilized for the delay elements of the FFE. Chapter 3 illustrates the chip design of the high-speed backplane TX, consisting of a multiplexer (MUX) and a 5-tap FFE. The 4:1 MUX combines four lower rate streams into a high-speed differential NRZ signal up to 100 Gb/s as the FFE input. The 5-tap FFE is implemented with a novel topology for improved testability, such that the FFE performance can be individually characterized, in both frequency- and time-domain, which also helps to perform the coefficient optimization of the FFE. Different configurations for the gain cell in the FFE are compared. The gilbert configuration shows most advantages, in both a good high-frequency performance and an easy way to implement positive / negative amplification. The total chip, including the MUX and the FFE, consumes 750mW from a 2.5V supply and occupies an area of 4.4mm × 1.4 mm. In Chapter 4, the TX chip is demonstrated up to 84 Gb/s. First, the FFE performance is characterized in the frequency domain, showing that the FFE is able to work up to 84 Gb/s using duobinary formats. Second, the combination of the MUX and the FFE is tested. The equalized TX outputs are captured after different channels, for both NRZ and duobinary signaling at speeds from 64 Gb/s to 84 Gb/s. Then, by applying the duobinary RX 2, a serial electrical transmission link is demonstrated across a pair of 10 cm coax cables and across a 5 cm FX-2 differential stripline. The 5-tap FFE compensates a total loss between the TX and the RX chips of about 13.5 dB at the Nyquist frequency, while the RX receives the equalized signal and decodes the duobinary signal to 4 quarter rate NRZ streams. This shows a chip-to-chip data link with a bit error rate (BER) lower than 10−11. Last, the electrical data transmission between the TX and the RX over two commercial backplanes is demonstrated. An error-free, serial duobinary transmission across a commercial Megtron 6, 11.5 inch backplane is demonstrated at 48 Gb/s, which indicates that duobinary outperforms NRZ for attaining higher speed or longer reach backplane applications. Later on, using an ExaMAX® backplane demonstrator, duobinary transmission performance is verified and the maximum allowed channel loss at 40 Gb/s transmission is explored. The eye diagram and BER measurements over a backplane channel up to 26.25 inch are performed. The results show that at 40 Gb/s, a total channel loss up to 37 dB at the Nyquist frequency allows for error-free duobinary transmission, while a total channel loss of 42 dB was overcome with a BER below 10−8. An overview of the conclusions is summarized in Chapter 5, along with some suggestions for further research in this field. (1) The duobinary receiver was developed by my colleague Timothy De Keulenaer, as described in his PhD dissertation. (2) Described in the PhD dissertation of Timothy De Keulenaer

    Integrated Transversal Equalizers in High-Speed Fiber-Optic Systems

    Get PDF
    Intersymbol interference (ISI) caused by intermodal dispersion in multimode fibers is the major limiting factor in the achievable data rate or transmission distance in high-speed multimode fiber-optic links for local area networks applications. Compared with optical-domain and other electrical-domain dispersion compensation methods, equalization with transversal filters based on distributed circuit techniques presents a cost-effective and low-power solution. The design of integrated distributed transversal equalizers is described in detail with focus on delay lines and gain stages. This seven-tap distributed transversal equalizer prototype has been implemented in a commercial 0.18-µm SiGe BiCMOS process for 10-Gb/s multimode fiber-optic links. A seven-tap distributed transversal equalizer reduces the ISI of a 10-Gb/s signal after 800 m of 50-µm multimode fiber from 5 to 1.38 dB, and improves the bit-error rate from about 10^-5 to less than 10^-12

    Energy-Efficient Receiver Design for High-Speed Interconnects

    Get PDF
    High-speed interconnects are of vital importance to the operation of high-performance computing and communication systems, determining the ultimate bandwidth or data rates at which the information can be exchanged. Optical interconnects and the employment of high-order modulation formats are considered as the solutions to fulfilling the envisioned speed and power efficiency of future interconnects. One common key factor in bringing the success is the availability of energy-efficient receivers with superior sensitivity. To enhance the receiver sensitivity, improvement in the signal-to-noise ratio (SNR) of the front-end circuits, or equalization that mitigates the detrimental inter-symbol interference (ISI) is required. In this dissertation, architectural and circuit-level energy-efficient techniques serving these goals are presented. First, an avalanche photodetector (APD)-based optical receiver is described, which utilizes non-return-to-zero (NRZ) modulation and is applicable to burst-mode operation. For the purposes of improving the overall optical link energy efficiency as well as the link bandwidth, this optical receiver is designed to achieve high sensitivity and high reconfiguration speed. The high sensitivity is enabled by optimizing the SNR at the front-end through adjusting the APD responsivity via its reverse bias voltage, along with the incorporation of 2-tap feedforward equalization (FFE) and 2-tap decision feedback equalization (DFE) implemented in current-integrating fashion. The high reconfiguration speed is empowered by the proposed integrating dc and amplitude comparators, which eliminate the RC settling time constraints. The receiver circuits, excluding the APD die, are fabricated in 28-nm CMOS technology. The optical receiver achieves bit-error-rate (BER) better than 1E−12 at −16-dBm optical modulation amplitude (OMA), 2.24-ns reconfiguration time with 5-dB dynamic range, and 1.37-pJ/b energy efficiency at 25 Gb/s. Second, a 4-level pulse amplitude modulation (PAM4) wireline receiver is described, which incorporates continuous time linear equalizers (CTLEs) and a 2-tap direct DFE dedicated to the compensation for the first and second post-cursor ISI. The direct DFE in a PAM4 receiver (PAM4-DFE) is made possible by the proposed CMOS track-and-regenerate slicer. This proposed slicer offers rail-to-rail digital feedback signals with significantly improved clock-to-Q delay performance. The reduced slicer delay relaxes the settling time constraint of the summer circuits and allows the stringent DFE timing constraint to be satisfied. With the availability of a direct DFE employing the proposed slicer, inductor-based bandwidth enhancement and loop-unrolling techniques, which can be power/area intensive, are not required. Fabricated in 28-nm CMOS technology, the PAM4 receiver achieves BER better than 1E−12 and 1.1-pJ/b energy efficiency at 60 Gb/s, measured over a channel with 8.2-dB loss at Nyquist frequency. Third, digital neural-network-enhanced FFEs (NN-FFEs) for PAM4 analog-to-digital converter (ADC)-based optical interconnects are described. The proposed NN-FFEs employ a custom learnable piecewise linear (PWL) activation function to tackle the nonlinearities with short memory lengths. In contrast to the conventional Volterra equalizers where multipliers are utilized to generate the nonlinear terms, the proposed NN-FFEs leverage the custom PWL activation function for nonlinear operations and reduce the required number of multipliers, thereby improving the area and power efficiencies. Applications in the optical interconnects based on micro-ring modulators (MRMs) are demonstrated with simulation results of 50-Gb/s and 100-Gb/s links adopting PAM4 signaling. The proposed NN-FFEs and the conventional Volterra equalizers are synthesized with the standard-cell libraries in a commercial 28-nm CMOS technology, and their power consumptions and performance are compared. Better than 37% lower power overhead can be achieved by employing the proposed NN-FFEs, in comparison with the Volterra equalizer that leads to similar improvement in the symbol-error-rate (SER) performance.</p

    7-bit phase shifter using SiGe BiCMOS technology for X-band phased array applications

    Get PDF
    Phase array T/R modules achieve high performance with III-V technologies. However, the cost of III-V technologies is high. Recent developments in SiGe BiCMOS technology show us that III-V technology can be replaced with SiGe BiCMOS. Moreover, thanks to the integration of the CMOS, digitally controlled T/R modules can be realized with that technology. Power dissipation, area, and integration complexity can be reduced with SiGe BiCMOS technology. Also, the number of radiating elements and the cost of T/R module can be reduced with phase shifters with high phase resolution. In the light of these trends, this thesis presents a 7-bit low insertion-loss SiGe X-band (8-12 GHz) passive phase shifter, realized in IHP 0.25- m SiGe BiCMOS process. The phase shifter is based on high-pass/low-pass lter topology with a new proposed switching technique. This technique decreases the number of series switch by dividing each phase into 4 arms instead of two arms. Also, in this technique, instead of using two single pole switches consecutively, multiple pole switches are realized. Thanks to the IHP SiGe BiCMOS technology, isolated NMOSs are used which improve insertion-loss of the phase shifter. The overall phase shifter is composed of BALUN, SP4T, DP4T, 4P4Ts, and phase blocks to create a phase shift for achieving 7-bit phase resolution. The return loss of each state is better than 10 dB and the phase shifter has an average of 14.5 dB insertion loss. Minimum 1 RMS phase error is obtained at 10 GHz. RMS phase error is better than 6 at 9-11 GHz band. The phase shifter occupies an area of 6 mm2 and it has no DC power consumption. The thesis also summarizes the work that was contributed as part of the complete TR Module generation. These include active and passive gain equalizers that are utilized in the Module to generate desired slope in the receiver / transmitter chain

    Modulated Backscatter for Low-Power High-Bandwidth Communication

    Get PDF
    <p>This thesis re-examines the physical layer of a communication link in order to increase the energy efficiency of a remote device or sensor. Backscatter modulation allows a remote device to wirelessly telemeter information without operating a traditional transceiver. Instead, a backscatter device leverages a carrier transmitted by an access point or base station.</p><p>A low-power multi-state vector backscatter modulation technique is presented where quadrature amplitude modulation (QAM) signalling is generated without running a traditional transceiver. Backscatter QAM allows for significant power savings compared to traditional wireless communication schemes. For example, a device presented in this thesis that implements 16-QAM backscatter modulation is capable of streaming data at 96 Mbps with a radio communication efficiency of 15.5 pJ/bit. This is over 100x lower energy per bit than WiFi (IEEE 802.11).</p><p>This work could lead to a new class of high-bandwidth sensors or implantables with power consumption far lower than traditional radios.</p>Dissertatio

    Performance of the CMS Tracker Optical Links and Future Upgrade Using Bandwidth Efficient Digital Modulation

    Get PDF
    The Compact Muon Solenoid (CMS) experiment at the Large Hadron Collider (LHC) particle accelerator will begin operation in 2007. The innermost CMS subdetector, the Tracker, comprises ~10 million detector channels read out by ~40 000 analog optical links. The optoelectronic components have been designed to meet the stringent requirements of a high energy physics (HEP) experiment in terms of radiation hardness, low mass and low power. Extensive testing has been performed on the components and on complete optical links in test systems. Their functionality and performance in terms of gain, noise, linearity, bandwidth and radiation hardness is detailed. Particular emphasis is placed on the gain, which directly affects the dynamic range of the detector data. It has been possible to accurately predict the variation in gain that will be observed throughout the system. A simulation based on production test data showed that the average gain would be ~38% higher than the design target at the Tracker operating temperature of -10°C. Corrective action was taken to reduce the gains and recover the lost dynamic range by lowering the optical receiver's load resistor value from 100Ω to 62Ω. All links will have gains between 0.64 and 0.96V/V. The future iteration of CMS will be operated in an upgraded LHC requiring faster data readout. In order to preserve the large investments made for the current readout system, an upgrade path that involves reusing the existing optoelectronic components is considered. The applicability of Quadrature Amplitude Modulation (QAM) in a HEP readout system is examined. The method for calculating the data rate is presented, along with laboratory tests where QAM signals were transmitted over a Tracker optical link. The results show that 3-4Gbit/s would be possible if such a design can be implemented (over 10 times the equivalent data rate of the current analog links, 320Mbits/s).(Abridged version) The CMS experiment at the LHC will begin operation in 2007. The CMS Tracker sub-detector, comprises ~10 million detector channels read out by ~40 000 analog optical links. The optoelectronic components have been designed to meet the stringent requirements of a HEP experiment in terms of radiation hardness, low mass and low power. Extensive testing has been performed on the components and on complete optical links in test systems. Their functionality and performance in terms of gain, noise, linearity, bandwidth and radiation hardness is detailed. Particular emphasis is placed on the gain, which directly affects the dynamic range of the detector data. It has been possible to accurately predict the variation in gain that will be observed throughout the system. A simulation based on production test data showed that the average gain would be ~38% higher than the design target at the Tracker operating temperature of -10{\deg}C. Corrective action was taken to reduce the gains and recover the lost dynamic range by lowering the optical receiver's load resistor value from 100{\Omega} to 62{\Omega}. All links will have gains between 0.64 and 0.96V/V. The future iteration of CMS will be operated in an upgraded LHC requiring faster data readout. In order to preserve the large investments made for the current readout system, an upgrade path that involves reusing the existing optoelectronic components is considered. The applicability of Quadrature Amplitude Modulation (QAM) in a HEP readout system is examined. The method for calculating the data rate is presented, along with laboratory tests where QAM signals were transmitted over a Tracker optical link. The results show that 3-4Gbit/s would be possible if such a design can be implemented (over 10 times the equivalent data rate of the current analog links, 320Mbits/s)
    corecore