380 research outputs found

    Magnetization dynamics in racetrack memory

    Get PDF
    Various devices have been proposed which use magnetic domain walls (DWs) in nanosized magnetic structures to perform logic operations or store information. In particular in ‘Racetrack memory’ bits of information represented by DWs are shifted in a magnetic wire to be stored. For these memory and logic devices to be successful, great control of DW motion is of vital importance. In cooperation with IBM’s Almaden research laboratory a pump-probe Kerr magnetooptical scanning microscope has been developed. In order to control DW injection, motion and reset, magnetic fields have to be applied locally on the nanowire. For this a special Damascene CMOS chip has been fabricated at the 200 mm wafer facility at IBM Microelectronics Research Laboratory (MRL). Probing of the local magnetization is done with a focused pulsed laser spot of 400 nm diameter where the polarization rotation caused by the Kerr effect is measured after reflection. In order to achieve optimal focusing a perpendicular incident laser beam is focused with a high numerical aperture objective. Synchronized ‘pumping’ in this scheme is achieved by successively: 1 injecting a DW; 2 propagate the DW down the nanowire with either current through or an applied field pulse over the nanowire; 3 and finally resetting the whole nanowire to its original magnetization by applying a large field together with the injection of an opposite magnetic domain. With this setup field and current induced DW motion is studied in permalloy nanowires ranging in width from 200 to 700 nm and thickness of 20 nm. For control of DWs in Racetrack memory it is important to understand the different mechanism for driving a DW already in motion (dynamic) and driving a DW that is currently at rest (static). The propagation field, the minimum field below which no DW motion takes place, is measured for both dynamic DWs and static DWs. It is found that Static DWs require a much higher field than DWs already in motion. A model is build where this effect is related to the wire roughness, successfully describing the existence of a propagation field, the difference between both propagation fields and a specific effect related to the method of injection. For Racetrack memory to be successful the critical current needs to be small (the current needed to move a DW solely by current) and the DW velocity high. Much of the influence of intrinsic magnetic properties of materials on DW dynamics is unknown. One important property affecting DW velocity and possibly also the critical current is Gilbert damping. Gilbert damping in permalloy can be tuned by doping the nanowires with osmium. This is used to prepare a sample series with increasing Gilbert damping. Measurement of the field induced DW velocity revealed a profile well known that includes the Walker breakdown (a maximum field where further increasing field strength does not further increase the DW velocity). From this profile the dependence of the Walker breakdown, DW mobility and maximum DW velocity on Gilbert damping has been determined. With the same sample series also current induced field assisted DW motion has been measured. Current induced DW motion is known to be driven by two effects: adiabatic and ballistic- spin momentum transfer (SMT) which relative contribution is parameterized by beta in the Landau Lifshitz Gilbert equation (LLG). Measurement of DW velocity depending on current density revealed the relative contribution of the two SMT schemes. Also the influence of Gilbert damping on the relative contribution of both schemes has been explored. A pronounced dependence of the measured spin torque efficiency on osmium concentration was found. This result may be interpreted as a sign that the intensively debated ratio ¿ / ¿ is far from constant over the range of ¿ studied

    Magnetic racetrack memory: from physics to the cusp of applications within a decade

    Get PDF
    Racetrack memory (RTM) is a novel spintronic memory-storage technology that has the potential to overcome fundamental constraints of existing memory and storage devices. It is unique in that its core differentiating feature is the movement of data, which is composed of magnetic domain walls (DWs), by short current pulses. This enables more data to be stored per unit area compared to any other current technologies. On the one hand, RTM has the potential for mass data storage with unlimited endurance using considerably less energy than today's technologies. On the other hand, RTM promises an ultrafast nonvolatile memory competitive with static random access memory (SRAM) but with a much smaller footprint. During the last decade, the discovery of novel physical mechanisms to operate RTM has led to a major enhancement in the efficiency with which nanoscopic, chiral DWs can be manipulated. New materials and artificially atomically engineered thin-film structures have been found to increase the speed and lower the threshold current with which the data bits can be manipulated. With these recent developments, RTM has attracted the attention of the computer architecture community that has evaluated the use of RTM at various levels in the memory stack. Recent studies advocate RTM as a promising compromise between, on the one hand, power-hungry, volatile memories and, on the other hand, slow, nonvolatile storage. By optimizing the memory subsystem, significant performance improvements can be achieved, enabling a new era of cache, graphical processing units, and high capacity memory devices. In this article, we provide an overview of the major developments of RTM technology from both the physics and computer architecture perspectives over the past decade. We identify the remaining challenges and give an outlook on its future

    A strategy for the design of skyrmion racetrack memories

    Full text link
    Magnetic storage based on racetrack memory is very promising for the design of ultra-dense, low-cost and low-power storage technology. Information can be coded in a magnetic region between two domain walls or, as predicted recently, in topological magnetic objects known as skyrmions. Here, we show the technological advantages and limitations of using Bloch and Neel skyrmions manipulated by spin current generated within the ferromagnet or via the spin-Hall effect arising from a non-magnetic heavy metal underlayer. We found that the Neel skyrmion moved by the spin-Hall effect is a very promising strategy for technological implementation of the next generation of skyrmion racetrack memories (zero field, high thermal stability, and ultra-dense storage). We employed micromagnetics reinforced with an analytical formulation of skyrmion dynamics that we developed from the Thiele equation. We identified that the excitation, at high currents, of a breathing mode of the skyrmion limits the maximal velocity of the memory

    Rashba Torque Driven Domain Wall Motion in Magnetic Helices

    Get PDF
    Manipulation of the domain wall propagation in magnetic wires is a key practical task for a number of devices including racetrack memory and magnetic logic. Recently, curvilinear effects emerged as an efficient mean to impact substantially the statics and dynamics of magnetic textures. Here, we demonstrate that the curvilinear form of the exchange interaction of a magnetic helix results in an effective anisotropy term and Dzyaloshinskii--Moriya interaction with a complete set of Lifshitz invariants for a one-dimensional system. In contrast to their planar counterparts, the geometrically induced modifications of the static magnetic texture of the domain walls in magnetic helices offer unconventional means to control the wall dynamics relying on spin-orbit Rashba torque. The chiral symmetry breaking due to the Dzyaloshinskii-Moriya interaction leads to the opposite directions of the domain wall motion in left- or right-handed helices. Furthermore, for the magnetic helices, the emergent effective anisotropy term and Dzyaloshinskii-Moriya interaction can be attributed to the clear geometrical parameters like curvature and torsion offering intuitive understanding of the complex curvilinear effects in magnetism

    Design and Code Optimization for Systems with Next-generation Racetrack Memories

    Get PDF
    With the rise of computationally expensive application domains such as machine learning, genomics, and fluids simulation, the quest for performance and energy-efficient computing has gained unprecedented momentum. The significant increase in computing and memory devices in modern systems has resulted in an unsustainable surge in energy consumption, a substantial portion of which is attributed to the memory system. The scaling of conventional memory technologies and their suitability for the next-generation system is also questionable. This has led to the emergence and rise of nonvolatile memory ( NVM ) technologies. Today, in different development stages, several NVM technologies are competing for their rapid access to the market. Racetrack memory ( RTM ) is one such nonvolatile memory technology that promises SRAM -comparable latency, reduced energy consumption, and unprecedented density compared to other technologies. However, racetrack memory ( RTM ) is sequential in nature, i.e., data in an RTM cell needs to be shifted to an access port before it can be accessed. These shift operations incur performance and energy penalties. An ideal RTM , requiring at most one shift per access, can easily outperform SRAM . However, in the worst-cast shifting scenario, RTM can be an order of magnitude slower than SRAM . This thesis presents an overview of the RTM device physics, its evolution, strengths and challenges, and its application in the memory subsystem. We develop tools that allow the programmability and modeling of RTM -based systems. For shifts minimization, we propose a set of techniques including optimal, near-optimal, and evolutionary algorithms for efficient scalar and instruction placement in RTMs . For array accesses, we explore schedule and layout transformations that eliminate the longer overhead shifts in RTMs . We present an automatic compilation framework that analyzes static control flow programs and transforms the loop traversal order and memory layout to maximize accesses to consecutive RTM locations and minimize shifts. We develop a simulation framework called RTSim that models various RTM parameters and enables accurate architectural level simulation. Finally, to demonstrate the RTM potential in non-Von-Neumann in-memory computing paradigms, we exploit its device attributes to implement logic and arithmetic operations. As a concrete use-case, we implement an entire hyperdimensional computing framework in RTM to accelerate the language recognition problem. Our evaluation shows considerable performance and energy improvements compared to conventional Von-Neumann models and state-of-the-art accelerators

    STRUCTURES, PROPERTIES AND FUNCTIONALITIES OF MAGNETIC DOMAIN WALLS IN THIN FILMS, NANOWIRES AND ATOMIC CHAINS: MICROMAGNETIC AND AB INITIO STUDIES

    Get PDF
    Structures, properties and functionalities of magnetic domain walls in thin film, nanowires and atomic chains are studied by micromagnetic simulations and ab initio calculations in this dissertation. For magnetic domain walls in thin films, we computationally investigated the dynamics of one-dimensional domain wall line in ultrathin ferromagnetic film, and the exponent α = 1.24 ± 0.05 is obtained in the creep regime near depinning force, indicating the washboard potential model is supported by our simulations. Furthermore, the roughness, creep, depinning and flow of domain wall line with commonly existed substructures driven by magnetic field are also studied. Our simulation results demonstrate that substructures will decrease the roughness exponent ζ, increase the critical depinning force, and reduce the effective creep energy barrier. Current induced domain-wall substructure motion is also studied, which is found quite different from current induced domain wall motion. For magnetic domain walls in nanowires, field and current induced domain wall motion is studied, and some relevant spintronic devices are proposed based on micromagnetic simulations. Novel nanometer transverse-domain-wall-based logic elements, 360° domain wall generator and shift register are proposed. When spinpolarized current is applied, the critical current for domain wall depinning can be substantially reduced and conveniently tuned by controlling domain wall number in the pile-up at pinning site, in analogy to dislocation pile-up responsible for Hall-Petch effect in mechanical strength. Furthermore, threshold currents for domain wall depinning and transportation through circular geometry in planar nanowire induced by spin transfer torques and spin-orbit torques are theoretically calculated. In addition, magnetic vortex racetrack memory which combines both conceptions of magnetic vortex domain walls and racetrack is also proposed using micromagnetic simulations. For magnetic domain walls in Ni atomic chains, a truly magnetic domain wall structure and the single domain switching process are investigated by both ab initio studies and spin dynamics simulations. Spin moment softening effect caused by the hybridization effect between two spin channels is considered. The atomic domain wall as narrow as 4 atom-distance with slight spin moment softening effect indicates a relatively evident ballistic magnetoresistance effect, and the large EB indicates the strong stability of single domain state
    • 

    corecore