109 research outputs found

    Copper Metal for Semiconductor Interconnects

    Get PDF
    Resistance-capacitance (RC) delay produced by the interconnects limits the speed of the integrated circuits from 0.25ย mm technology node. Copper (Cu) had been used to replace aluminum (Al) as an interconnecting conductor in order to reduce the resistance. In this chapter, the deposition method of Cu films and the interconnect fabrication with Cu metallization are introduced. The resulting integration and reliability challenges are addressed as well

    ์ฐจ์„ธ๋Œ€ ๋ฐ˜๋„์ฒด ๋ฐฐ์„ ์„ ์œ„ํ•œ ์ฝ”๋ฐœํŠธ ํ•ฉ๊ธˆ ์ž๊ฐ€ํ˜•์„ฑ ํ™•์‚ฐ๋ฐฉ์ง€๋ง‰ ์žฌ๋ฃŒ ์„ค๊ณ„ ๋ฐ ์ „๊ธฐ์  ์‹ ๋ขฐ์„ฑ์— ๋Œ€ํ•œ ์—ฐ๊ตฌ

    Get PDF
    ํ•™์œ„๋…ผ๋ฌธ(๋ฐ•์‚ฌ) -- ์„œ์šธ๋Œ€ํ•™๊ต๋Œ€ํ•™์› : ๊ณต๊ณผ๋Œ€ํ•™ ์žฌ๋ฃŒ๊ณตํ•™๋ถ€, 2022.2. ์ฃผ์˜์ฐฝ.Recently, the resistance-capacitance (RC) delay of the Cu interconnects in metal 1 (M1) level has been increased rapidly due to the reduction of the interconnect linewidth along with the transistor scaling down, and the interconnect reliability becomes a severe issue again. In order to overcome interconnect performance problems and move forward to the next-generation interconnects system, study on low resistivity (ฯo) and low electron mean free path (ฮป) metals was conducted. Generally, metals such as Cobalt (Co), Ruthenium (Ru), and Molybdenum (Mo) are mentioned as candidates for next-generation interconnect materials, and since they have a low ฯo ร— ฮป value, it is expected that the influence of interface scatterings and surface scattering can be minimized. However, harsh operating environments such as high electric fields, critical Joule heating, and reduction of the pitch size are severely deteriorating the performance of electronic devices as well as device reliability. For example, since time dependent dielectric breakdown (TDDB) problems for next-generation interconnect system have been reported recently, it is necessary to study alternative barrier materials and processes to improve the interconnect reliability. Specifically, extrinsic dielectric breakdown due to penetration of Co metal ions in high electric fields has been reported as a reliability problem to be solved in Co interconnect systems. Therefore, there is a need for new material system design and research on a robust diffusion barrier that prevents metal ions from penetrating into the dielectric, thereby improving the reliability of Co interconnects. Moreover, in order to lower the resistance of the interconnect, it is necessary to develop an ultra-thin barrier. This is because even a barrier with good reliability characteristics will degrade chip performance if it takes up a lot of volume in the interconnect. The recommended thickness for a single diffusion barrier layer is currently reported to be less than 2.5 nm. As a result, it is essential to develop materials that comprehensively consider performance and reliability. In this study, we designed a Co alloy self-forming barrier (SFB) material that can make sure of low resistance and high reliability for Co interconnects, which is attracting attention as a next-generation interconnect system. The self-forming barrier methodology induces diffusion of an alloy dopant at the interface between the metal and the dielectric during the annealing process. And the diffused dopant reacts with the dielectric to form an ultra-thin diffusion barrier. Through this methodology, it is possible to improve reliability by preventing the movement of metal ions. First of all, material design rules were established to screen the appropriate alloy dopants and all CMOS-compatible metals were investigated. Dopant resistivity, intermetallic compound formation, solubility in Co, activity coefficient in Co, and oxidation tendency is considered as the criteria for the dopant to escape from the Co matrix and react at the Co/SiO2 interface. In addition, thermodynamic calculations were performed to predict which phases would be formed after the annealing process. Based on thermodynamic calculations, 5 dopant metals were selected, prioritized for self-forming behavior. And the self-forming material was finally selected through thin film and device analysis. We confirmed that Cr, Zn, and Mn out-diffused to the surface of the thin film structure using X-ray photoelectron spectroscopy (XPS) depth profile and investigated the chemical state of out-diffused dopants through the analysis of a binding energy. Cr shows the most ideal self-forming behavior with the SiO2 dielectric and reacted with oxygen to form a Cr2O3 barrier. In metal-insulator-semiconductor (MIS) structure, out-diffused Cr reacts with SiO2 at the interface and forms a self-formed single layer. It was confirmed that the thickness of the diffusion barrier layer is about 1.2 nm, which is an ultra-thin layer capable of minimizing the total effective resistance. Through voltage-ramping dielectric breakdown (VRDB) tests, Co-Cr alloy showed highest breakdown voltage (VBD) up to 200 % than pure Co. The effect of Cr doping concentration and heat treatment condition applicable to the interconnect process was confirmed. When Cr was doped less than 1 at%, the robust electrical reliability was exhibited. Also, it was found that a Cr2O3 interfacial layer was formed when annealing process was performed at 250 ยฐC or higher for 30 minutes or longer. In other words, Co-Cr alloy is well suited for the interconnect process because current interconnect process temperature is below 400 ยฐC. And when the film thickness was lowered from 150 nm to 20 nm, excellent VBD values were confirmed even at high Cr doping concentration (~7.5 at%). It seems that the amount of Cr present at the Co/SiO2 interface plays a very important role in improving the Cr oxide SFB quality. Physical modeling is necessary to understand the amount of Cr at the interface according to the interconnect volumes and the reliability of the Cr oxide self-forming barrier. TDDB lifetime test also performed and Co-Cr alloy interconnect shows a highly reliable diffusion barrier property of self-formed interfacial layer. The DFT analysis also confirmed that Cr2O3 is a very promising barrier material because it showed a higher energy barrier value than the TiN diffusion barrier currently being studied. A Co-based self-forming barrier was designed through thermodynamic calculations that take performance and reliability into account in interconnect material system. A Co interconnect system with an ultra-thin Cr2O3 diffusion barrier with excellent reliability is proposed. Through this design, it is expected that high-performance interconnects based on robust reliability in the advanced interconnect can be implemented in the near future.์ตœ๊ทผ ๋ฐ˜๋„์ฒด ์†Œ์ž ์Šค์ผ€์ผ๋ง์— ๋”ฐ๋ฅธ ๋ฐฐ์„  ์„ ํญ ๊ฐ์†Œ๋กœ M0, M1์˜์—ญ์—์„œ์˜ metal ๋น„์ €ํ•ญ์ด ๊ธ‰๊ฒฉํžˆ ์ฆ๊ฐ€ํ•˜์—ฌ ๋ฐฐ์„ ์—์„œ์˜ RC delay๊ฐ€ ๋‹ค์‹œ ํ•œ๋ฒˆ ํฌ๊ฒŒ ๋ฌธ์ œ๊ฐ€ ๋˜๊ณ  ์žˆ๋‹ค. ์ด๋ฅผ ํ•ด๊ฒฐํ•˜๊ธฐ ์œ„ํ•ด์„œ ์ฐจ์„ธ๋Œ€ ๋ฐฐ์„  ์‹œ์Šคํ…œ์—์„œ๋Š” ๋‚ฎ์€ ๋น„์ €ํ•ญ๊ณผ electron mean free path (EMFP)์„ ๊ฐ€์ง€๋Š” ๋ฌผ์งˆ ์—ฐ๊ตฌ๊ฐ€ ์ง„ํ–‰๋˜์—ˆ๋‹ค. ๋Œ€ํ‘œ์ ์œผ๋กœ Co, Ru, Mo์™€ ๊ฐ™์€ ๊ธˆ์†๋“ค์ด ์ฐจ์„ธ๋Œ€ ๋ฐฐ์„  ์žฌ๋ฃŒ ํ›„๋ณด๋กœ ์–ธ๊ธ‰๋˜๊ณ  ์žˆ์œผ๋ฉฐ ๋‚ฎ์€ ฯ0 ร— ฮป ๊ฐ’์„ ๊ฐ–๊ธฐ ๋•Œ๋ฌธ์— interface (surface) scattering๊ณผ grain boundary scattering ์˜ํ–ฅ์„ ์ตœ์†Œํ™”ํ•  ์ˆ˜ ์žˆ์„ ๊ฒƒ์œผ๋กœ ๋ณด๊ณ  ์žˆ๋‹ค. ํ•˜์ง€๋งŒ ๊ฐ€ํ˜นํ•œ electrical field์™€ ๋†’์€ Joule heating์ด ๋ฐœ์ƒํ•˜๋Š” ๋™์ž‘ ํ™˜๊ฒฝ์œผ๋กœ ์ธํ•ด performance๋ฟ๋งŒ ์•„๋‹ˆ๋ผ ์†Œ์ž ์‹ ๋ขฐ์„ฑ์ด ๋” ์—ด์•…ํ•œ ์ƒํ™ฉ์— ๋†“์—ฌ์žˆ๋‹ค. ์˜ˆ๋ฅผ ๋“ค์–ด ์ฐจ์„ธ๋Œ€ ๊ธˆ์†์— ๋Œ€ํ•œ time dependent dielectric breakdown (TDDB) ์‹ ๋ขฐ์„ฑ ๋ฌธ์ œ๊ฐ€ ๋ณด๊ณ ๋˜๊ณ  ์žˆ๊ธฐ ๋•Œ๋ฌธ์— ์ด๋ฅผ ๋ณด์•ˆํ•  ํ™•์‚ฐ๋ฐฉ์ง€๋ง‰ ๋ฌผ์งˆ ๋ฐ ๊ณต์ •์—ฐ๊ตฌ๊ฐ€ ํ•„์š”ํ•˜๋‹ค. ํŠนํžˆ ๋†’์€ ์ „๊ธฐ์žฅ์—์„œ Co ion์ด ์œ ์ „์ฒด๋กœ ์นจํˆฌํ•˜์—ฌ extrinsic dielectric breakdown ์‹ ๋ขฐ์„ฑ ๋ฌธ์ œ๊ฐ€ ์ตœ๊ทผ ๋ณด๊ณ ๋˜๊ณ  ์žˆ๋‹ค. ๋”ฐ๋ผ์„œ ๊ธˆ์† ์ด์˜จ์ด ์œ ์ „์ฒด ๋‚ด๋ถ€๋กœ ์นจํˆฌํ•˜๋Š” ๊ฒƒ์„ ๋ฐฉ์ง€ํ•˜์—ฌ, Co ๋ฐฐ์„ ์˜ ์‹ ๋ขฐ์„ฑ์„ ํ–ฅ์ƒ์‹œํ‚ฌ ์ˆ˜ ๊ฒฌ๊ณ ํ•œ ํ™•์‚ฐ๋ฐฉ์ง€๋ง‰ ๊ฐœ๋ฐœ ๋ฐ ์ƒˆ๋กœ์šด ๋ฐฐ์„  ์‹œ์Šคํ…œ ์„ค๊ณ„๊ฐ€ ํ•„์š”ํ•œ ์‹œ์ ์ด๋‹ค. ๋˜ํ•œ, ๋ฐฐ์„  ์ €ํ•ญ์„ ๋‚ฎ์ถ”๊ธฐ ์œ„ํ•ด์„œ๋Š” ๋งค์šฐ ์–‡์€ ํ™•์‚ฐ๋ฐฉ์ง€๋ง‰ ๊ฐœ๋ฐœ์ด ํ•„์š”ํ•˜๋‹ค. ์‹ ๋ขฐ์„ฑ์ด ์ข‹์€ ํ™•์‚ฐ๋ฐฉ์ง€๋ง‰์ด๋ผ๋„ ๋ฐฐ์„ ์—์„œ ๋งŽ์€ ์˜์—ญ์„ ์ฐจ์ง€ํ•  ๊ฒฝ์šฐ ์ „์ฒด ์„ฑ๋Šฅ์ด ์ €ํ•˜๋˜๊ธฐ ๋•Œ๋ฌธ์ด๋‹ค. Cu ํ™•์‚ฐ๋ฐฉ์ง€๋ง‰์œผ๋กœ ์‚ฌ์šฉ๋˜๊ณ  ์žˆ๋Š” TaN ์ธต์€ 2.5 nm ๋ณด๋‹ค ์–‡์„ ๊ฒฝ์šฐ ์‹ ๋ขฐ์„ฑ์ด ๊ธ‰๊ฒฉํžˆ ๋‚˜๋น ์ง€๋ฏ€๋กœ 2.5 nm๋ณด๋‹ค ์–‡์€ ๋‘๊ป˜์˜ ๊ฒฌ๊ณ ํ•œ ํ™•์‚ฐ๋ฐฉ์ง€๋ง‰ ๊ฐœ๋ฐœ์ด ํ•„์š”ํ•˜๋‹ค. ๋ณธ ์—ฐ๊ตฌ๋Š” ์ฐจ์„ธ๋Œ€ ๋ฐ˜๋„์ฒด ๋ฐฐ์„  ๋ฌผ์งˆ๋กœ ์ฃผ๋ชฉ๋ฐ›๊ณ  ์žˆ๋Š” Co ๊ธˆ์†์— ๋Œ€ํ•˜์—ฌ ์ €์ €ํ•ญยท๊ณ ์‹ ๋ขฐ์„ฑ์„ ํ™•๋ณดํ•  ์ˆ˜ ์žˆ๋Š” Co alloy ์ž๊ฐ€ํ˜•์„ฑ ํ™•์‚ฐ๋ฐฉ์ง€๋ง‰ (Co alloy self-forming barrier, SFB) ์†Œ์žฌ ๋””์ž์ธํ•˜์˜€๋‹ค. ์ž๊ฐ€ํ˜•์„ฑ ํ™•์‚ฐ๋ฐฉ์ง€๋ง‰ ๋ฐฉ๋ฒ•๋ก ์€ ์—ด์ฒ˜๋ฆฌ ๊ณผ์ •์—์„œ ๊ธˆ์†๊ณผ ์œ ์ „์ฒด ๊ณ„๋ฉด์—์„œ ๋„ํŽ€ํŠธ๊ฐ€ ํ™•์‚ฐํ•˜๊ฒŒ ๋œ๋‹ค. ๊ทธ๋ฆฌ๊ณ  ํ™•์‚ฐ๋˜๋‹ˆ ๋„ํŽ€ํŠธ๋Š” ์–‡์€ ํ™•์‚ฐ๋ฐฉ์ง€๋ง‰์„ ํ˜•์„ฑํ•˜๋Š” ๋ฐฉ๋ฒ•๋ก ์ด๋‹ค. ์ด ๋ฐฉ๋ฒ•๋ก ์„ ํ†ตํ•ด ๊ธˆ์† ์ด์˜จ์˜ ์ด๋™์„ ๋ฐฉ์ง€ํ•˜์—ฌ Co ๋ฐฐ์„  ์‹ ๋ขฐ์„ฑ์„ ํ–ฅ์ƒ์‹œํ‚ฌ ์ˆ˜ ์žˆ์„ ๊ฒƒ์œผ๋กœ ์˜ˆ์ƒํ•˜์˜€๋‹ค. ์šฐ์„ , Co ํ•ฉ๊ธˆ์ƒ์—์„œ ์ ์ ˆํ•œ ๋„ํŽ€ํŠธ๋ฅผ ์ฐพ๊ธฐ ์œ„ํ•ด์„œ CMOS ๊ณต์ •์— ์ ์šฉ ๊ฐ€๋Šฅํ•œ ๊ธˆ์†๋“ค์„ ์„ ๋ณ„ํ•˜์˜€๋‹ค. ๋„ํŽ€ํŠธ ์ €ํ•ญ, ๊ธˆ์†๊ฐ„ ํ™”ํ•ฉ๋ฌผ ํ˜•์„ฑ ์—ฌ๋ถ€, Co๋‚ด ๊ณ ์šฉ๋„, Co alloy์—์„œ์˜ ํ™œ์„ฑ๊ณ„์ˆ˜, ์‚ฐํ™”๋„, Co/SiO2 ๊ณ„๋ฉด์—์„œ์˜ ์•ˆ์ •์ƒ์„ ์—ด์—ญํ•™์  ๊ณ„์‚ฐ์„ ํ†ตํ•ด์„œ ๋ฌผ์งˆ ์„ ์ • ๊ธฐ์ค€์œผ๋กœ ์„ธ์› ๋‹ค. ์—ด์—ญํ•™์  ๊ณ„์‚ฐ์„ ๊ธฐ๋ฐ˜์œผ๋กœ 9๊ฐœ์˜ ๋„ํŽ€ํŠธ ๊ธˆ์†์ด ์„ ํƒ๋˜์—ˆ์œผ๋ฉฐ, Co ํ•ฉ๊ธˆ ์ž๊ฐ€ํ˜•์„ฑ ํ™•์‚ฐ๋ฐฉ์ง€๋ง‰ ๊ธฐ์ค€์— ๋”ฐ๋ผ์„œ ์šฐ์„  ์ˆœ์œ„๋ฅผ ์ง€์ •ํ•˜์˜€๋‹ค. ๊ทธ๋ฆฌ๊ณ  ์ตœ์ข…์ ์œผ๋กœ ๋ฐ•๋ง‰๊ณผ ์†Œ์ž ์‹ ๋ขฐ์„ฑ ํ‰๊ฐ€๋ฅผ ํ†ตํ•ด์„œ ๊ฐ€์žฅ ์ ํ•ฉํ•œ ์ž๊ฐ€ํ˜•์„ฑ ํ™•์‚ฐ๋ฐฉ์ง€๋ง‰ ๋ฌผ์งˆ์„ ์„ ์ •ํ•˜์˜€๋‹ค. X-ray photoelectron spectroscopy (XPS) ๋ถ„์„์„ ์ด์šฉํ•˜์—ฌ Cr, Zn, Mn์ด ๋ฐ•๋ง‰ ๊ตฌ์กฐ์˜ ํ‘œ๋ฉด์œผ๋กœ ์™ธ๋ถ€ ํ™•์‚ฐ ์—ฌ๋ถ€๋ฅผ ํ™•์ธํ•˜๊ณ  ๊ฒฐํ•ฉ ์—๋„ˆ์ง€ ๋ถ„์„์„ ํ†ตํ•ด ์™ธ๋ถ€๋กœ ํ™•์‚ฐ๋œ ๋„ํŽ€ํŠธ์˜ ํ™”ํ•™์  ์ƒํƒœ๋ฅผ ์กฐ์‚ฌํ•˜์˜€๋‹ค. ๋ถ„์„ ๊ฒฐ๊ณผ Cr, Zn, Mn์ด ์œ ์ „์ฒด ๊ณ„๋ฉด์œผ๋กœ ํ™•์‚ฐ๋˜์–ด ์‚ฐ์†Œ์™€ ๋ฐ˜์‘ํ•˜์—ฌoxide/silicate ํ™•์‚ฐ ๋ฐฉ์ง€๋ง‰ (e.g. Cr2O3, Zn2SiO4, MnSiO3)์„ ํ˜•์„ฑํ•œ ๊ฒƒ์„ ํ™•์ธํ•˜์˜€๋‹ค. ๊ทธ ์ค‘ Cr์€ SiO2 ์œ ์ „์ฒด์™€ ํ•จ๊ป˜ ๊ฐ€์žฅ ์ด์ƒ์ ์ธ ์ž๊ธฐ ํ˜•์„ฑ ๊ฑฐ๋™์„ ๋‚˜ํƒ€๋‚ด๋ฉฐ ์‚ฐ์†Œ์™€ ๋ฐ˜์‘ํ•˜์—ฌ Cr2O3 ์ธต์„ ํ˜•์„ฑํ•˜๋Š” ๊ฒƒ์„ ํ™•์ธํ•˜์˜€๋‹ค. MIS (Metal-Insulator-Semiconductor) ๊ตฌ์กฐ์—์„œ๋„ ์™ธ๋ถ€๋กœ ํ™•์‚ฐ๋œ Cr์€ ๊ณ„๋ฉด์—์„œ SiO2์™€ ๋ฐ˜์‘ํ•˜์—ฌ Cr2O3 ์ž๊ฐ€ํ˜•์„ฑ ํ™•์‚ฐ๋ฐฉ์ง€๋ง‰์ด ํ˜•์„ฑ๋˜์—ˆ๋‹ค. ํ™•์‚ฐ๋ฐฉ์ง€์ธต์˜ ๋‘๊ป˜๋Š” ์•ฝ 1.2nm๋กœ ์ „์ฒด ์œ ํšจ์ €ํ•ญ์„ ์ตœ์†Œํ™”ํ•  ์ˆ˜ ์žˆ๋Š” ์ถฉ๋ถ„ํžˆ ์–‡์€ ๋‘๊ป˜๋ฅผ ํ™•๋ณดํ•˜์˜€๋‹ค. VRDB (Voltage-Ramping Dielectric Breakdown) ํ…Œ์ŠคํŠธ๋ฅผ ํ†ตํ•ด Co-Cr ํ•ฉ๊ธˆ์€ ์ˆœ์ˆ˜ Co๋ณด๋‹ค ์ตœ๋Œ€ 200% ๋†’์€ ํ•ญ๋ณต ์ „์•• (breakdown voltage)์„ ๋ณด์˜€๋‹ค. ๋ฐ˜๋„์ฒด ๋ฐฐ์„  ๊ณต์ •์— ์ ์šฉํ•  ์ˆ˜ ์žˆ๋Š” Cr ๋„ํ•‘ ๋†๋„์™€ ์—ด์ฒ˜๋ฆฌ ์กฐ๊ฑด์˜ ์˜ํ–ฅ์„ ํ™•์ธํ•˜์˜€๋‹ค. Cr์ด 1at% ๋ฏธ๋งŒ์œผ๋กœ ๋„ํ•‘๋˜์—ˆ์„ ๋•Œ ์šฐ์ˆ˜ํ•œ ์ „๊ธฐ์  ์‹ ๋ขฐ์„ฑ์„ ๋‚˜ํƒ€๋‚ด์—ˆ๋‹ค. ๋˜ํ•œ, 250โ„ƒ ์ด์ƒ์—์„œ 30๋ถ„ ์ด์ƒ ์—ด์ฒ˜๋ฆฌ๋ฅผ ํ•˜์˜€์„ ๋•Œ Cr2O3 ๊ณ„๋ฉด์ธต์ด ํ˜•์„ฑ๋จ์„ ์•Œ ์ˆ˜ ์žˆ์—ˆ๋‹ค. ์ฆ‰, ํ˜„์žฌ ๋ฐฐ์„  ๊ณต์ • ์˜จ๋„๊ฐ€ 400ยฐC ๋ฏธ๋งŒ์ด๊ธฐ ๋•Œ๋ฌธ์— Co-Cr ํ•ฉ๊ธˆ์ด ๋ฐฐ์„  ๊ณต์ •์— ์ ์šฉ ๊ฐ€๋Šฅํ•จ์„ ํ™•์ธํ•˜์˜€๋‹ค. TDDB ์ˆ˜๋ช… ํ…Œ์ŠคํŠธ๋„ ์ˆ˜ํ–‰๋˜์—ˆ์œผ๋ฉฐ Co-Cr ํ•ฉ๊ธˆ ๋ฐฐ์„ ์€ ์ž์ฒด ํ˜•์„ฑ๋œ ๊ณ„๋ฉด์ธต์˜ ๋งค์šฐ ์•ˆ์ •์ ์ธ ํ™•์‚ฐ ์žฅ๋ฒฝ ํŠน์„ฑ์„ ๋ณด์—ฌ์ฃผ์—ˆ๋‹ค. DFT ๋ถ„์„์€ Cr2O3์ž๊ฐ€ํ˜•์„ฑ ํ™•์‚ฐ๋ฐฉ์ง€๋ง‰์ด ํ˜„์žฌ ์—ฐ๊ตฌ๋˜๊ณ  ์žˆ๋Š” TiN ํ™•์‚ฐ ์žฅ๋ฒฝ๋ณด๋‹ค ๋” ๋†’์€ ์—๋„ˆ์ง€ ์žฅ๋ฒฝ ๊ฐ’์„ ๋ณด์—ฌ์ฃผ๊ธฐ ๋•Œ๋ฌธ์— ๋งค์šฐ ์œ ๋งํ•œ ํ™•์‚ฐ๋ฐฉ์ง€๋ง‰์ž„์„ ๋ณด์—ฌ์ฃผ์—ˆ๋‹ค. ๋ณธ ์—ฐ๊ตฌ๋Š” ๋ฐ˜๋„์ฑ„ ๋ฐฐ์„  ๋ฌผ์งˆ ์‹œ์Šคํ…œ์—์„œ ์„ฑ๋Šฅ๊ณผ ์‹ ๋ขฐ์„ฑ์„ ๊ณ ๋ คํ•œ ์—ด์—ญํ•™์  ๊ณ„์‚ฐ์„ ํ†ตํ•ด Co ๊ธฐ๋ฐ˜ ์ž๊ฐ€ํ˜•์„ฑ ํ™•์‚ฐ๋ฐฉ์ง€๋ง‰์„ ์„ค๊ณ„ํ•˜์˜€๋‹ค. ์‹คํ—˜ ๊ฒฐ๊ณผ ์‹ ๋ขฐ์„ฑ์ด ์šฐ์ˆ˜ํ•˜๊ณ  ์•„์ฃผ ์–‡์€ Cr2O3 ํ™•์‚ฐ๋ฐฉ์ง€๋ง‰์ด ์žˆ๋Š” Co-Cr ํ•ฉ๊ธˆ์ด ์ œ์•ˆํ•˜์˜€๋‹ค. ๋ฌผ์งˆ ์„ค๊ณ„์™€ ์ „๊ธฐ์  ์‹ ๋ขฐ์„ฑ ๊ฒ€์ฆ์„ Co/Cr2O3/SiO2 ๋ฌผ์งˆ ์‹œ์Šคํ…œ์„ ์ œ์•ˆํ•˜์˜€๊ณ  ์•ž์œผ๋กœ์˜ ๋‹ค๊ฐ€์˜ฌ ์ฐจ์„ธ๋Œ€ ๋ฐฐ์„ ์—์„œ ๊ตฌํ˜„๋  ์ˆ˜ ์žˆ์„ ๊ฒƒ์œผ๋กœ ๊ธฐ๋Œ€๋œ๋‹ค.Abstract i Table of Contents v List of Tables ix List of Figures xii Chapter 1. Introduction 1 1.1. Scaling down of VLSI systems 1 1.2. Driving force of interconnect system evolution 7 1.3. Driving force of beyond Cu interconnects 11 1.4. Objective of the thesis 18 1.5. Organization of the thesis 21 Chapter 2. Theoretical Background 22 2.1. Evolution of interconnect systems 22 2.1.1. Cu/barrier/low-k interconnect system 22 2.1.2. Process developments for interconnect reliability 27 2.1.3. 3rd generation of interconnect system 31 2.2 Thermodynamic tools for Co self-forming barrier 42 2.2.1 Binary phase diagram 42 2.2.2 Ellingham diagram 42 2.2.3 Activity coefficient 43 2.3. Reliability of Interconnects 45 2.3.1. Current conduction mechanisms in dielectrics 45 2.3.2. Reliability test vehicles 50 2.3.3. Dielectric breakdown assessment 52 2.3.4. Dielectric breakdown mechanisms 55 2.3.5. Reliability test: VRDB and TDDB 56 2.3.6. Lifetime models 57 Chapter 3. Experimental Procedures 60 3.1. Thin film deposition 60 3.1.1. Substrate preparation 60 3.1.2. Oxidation 61 3.1.3. Co alloy deposition using DC magnetron sputtering 61 3.1.4. Annealing process 65 3.2. Thin film characterization 67 3.2.1. Sheet resistance 67 3.2.2. X-ray photoelectron spectroscopy (XPS) 68 3.3. Metal-Insulator-Semiconductor (MIS) device fabrication 70 3.3.1. Patterning using lift-off process 70 3.3.2. TDDB packaging 72 3.4. Reliability analysis 74 3.4.1. Electrical reliability analysis 74 3.4.2. Transmission electron microscopy (TEM) analysis 75 3.5. Computation 76 3.5.1 FactsageTM calculation 76 3.5.2. Density Functional Theory (DFT) calculation 77 Chapter 4. Co Alloy Design for Advanced Interconnects 78 4.1. Material design of Co alloy self-forming barrier 78 4.1.1. Rule of thumb of Co-X alloy 78 4.1.2. Co alloy phase 80 4.1.3. Out-diffusion stage 81 4.1.4. Reaction step with SiO2 dielectric 89 4.1.5. Comparison criteria 94 4.2. Comparison of Co alloy candidates 97 4.2.1. Thin film resistivity evaluation 97 4.2.2. Self-forming behavior using XPS depth profile analysis 102 4.2.3. MIS device reliability test 110 4.3 Summary 115 Chapter 5. Co-Cr Alloy Interconnect with Robust Self-Forming Barrier 117 5.1. Compatibility of Co-Cr alloy SFB process 117 5.1.1. Effect of Cr doping concentration 117 5.1.2. Annealing process condition optimization 119 5.2. Reliability of Co-Cr interconnects 122 5.2.1. VRDB quality test with Co-Cr alloys 122 5.2.2. Lifetime evaluation using TDDB method 141 5.2.3. Barrier mechanism using DFT 142 5.3. Summary 145 Chapter 6. Conclusion 148 6.1. Summary of results 148 6.2. Research perspectives 150 References 151 Abstract (In Korean) 166 Curriculum Vitae 169๋ฐ•

    Estudo da eletromigraรงรฃo em circuitos integrados na fase de projeto

    Get PDF
    Orientadores: Roberto Lacerda de Orio, Leandro Tiago ManeraTese (doutorado) - Universidade Estadual de Campinas, Faculdade de Engenharia Elรฉtrica e de ComputaรงรฃoResumo: O dano por eletromigraรงรฃo nas interconexรตes รฉ um gargalo bem conhecido dos circuitos integrados, pois causam problemas de confiabilidade. A operaรงรฃo em temperaturas e densidades de corrente elevadas acelera os danos, aumentando a resistรชncia da interconexรฃo e, portanto, reduzindo a vida รบtil do circuito. Este problema tem se acentuado com o escalonamento da tecnologia. Para garantir a confiabilidade da interconexรฃo e, como consequรชncia, a confiabilidade do circuito integrado, mรฉtodos tradicionais baseados no chamado Efeito Blech e numa densidade de corrente mรกxima permitida sรฃo implementados durante o projeto da interconexรฃo. Esses mรฉtodos, no entanto, nรฃo levam em consideraรงรฃo o impacto da eletromigraรงรฃo no desempenho do circuito. Neste trabalho, a abordagem tradicional รฉ estendida e um mรฉtodo para avaliar o efeito da eletromigraรงรฃo no desempenho de circuito integrado รฉ desenvolvido. O mรฉtodo รฉ implementado em uma ferramenta que identifica as interconexรตes crรญticas em um circuito integrado e sugere larguras adequadas com base em diferentes critรฉrios para mitigar os danos ร  eletromigraรงรฃo e aumentar a confiabilidade. Alรฉm disso, รฉ determinada a variaรงรฃo dos parรขmetros de desempenho do circuito conforme a resistรชncia das interconexรตes aumenta. A ferramenta รฉ incorporada ao fluxo de projeto do circuito integrado e usa os dados dos kits de projeto e relatรณrios diretamente disponรญveis no ambiente de projeto. Uma anรกlise precisa da distribuiรงรฃo de temperatura na estrutura de interconexรฃo รฉ essencial para uma melhor avaliaรงรฃo da confiabilidade da interconexรฃo. Portanto, รฉ implementado um modelo para calcular a temperatura em cada nรญvel de metalizaรงรฃo da estrutura de interconexรฃo. A distribuiรงรฃo de temperatura nas camadas de metalizaรงรฃo de diferentes tecnologias รฉ investigada. ร‰ mostrado que a temperatura no Metal 1 da tecnologia Intel 10 nm aumenta 75 K, 12 K mais alta que no Metal 2. Como esperado, as camadas mais prรณximas dos transistores sofrem um aumento de temperatura mais significativo. A ferramenta รฉ aplicada para avaliar eletromigraรงรฃo nas interconexรตes e na robustez de diferentes circuitos, como um oscilador em anel, um circuito gerador de tensรฃo de referรชncia tipo bandgap e um amplificador operacional. O amplificador operacional, em particular, รฉ cuidadosamente estudado. A metodologia proposta identifica interconexรตes crรญticas que quando danificadas por eletromigraรงรฃo causam grandes variaรงรตes no desempenho do circuito. No pior cenรกrio, a frequรชncia de corte do circuito varia 65% em 5 anos de operaรงรฃo. Uma descoberta interessante รฉ que a metodologia proposta identifica interconexรตes crรญticas que nรฃo seriam identificadas pelos critรฉrios tradicionais. Essas interconexรตes operam com densidades de corrente abaixo do limite recomendado pelas regras de projeto. No entanto, uma dessas interconexรตes leva a uma variaรงรฃo de 30% no ganho do amplificador operacional. Em resumo, a ferramenta proposta verificou que dos 20% de caminhos com uma densidade crรญtica de corrente, apenas 3% degradam significativamente o desempenho do circuito. Este trabalho traz o estudo da confiabilidade das interconexรตes e de circuitos integrados para a fase de projeto, o que permite avaliar a degradaรงรฃo do desempenho do circuito antecipadamente durante o seu desenvolvimento. A ferramenta desenvolvida permite ao projetista identificar interconexรตes crรญticas que nรฃo seriam detectadas usando o critรฉrio de densidade mรกxima de corrente, levando a uma anรกlise mais ampla e precisa da robustez de circuitos integradosAbstract: Electromigration damage in interconnects is a well-known bottleneck of integrated circuits, because it causes reliability problems. Operation at high temperatures and current densities accelerates the damage, increasing the interconnect resistance and, therefore, reducing the circuit lifetime. This issue has been accentuated with the technology downscaling. To guarantee the interconnect reliability and, as a consequence, the integrated circuit reliability, traditional methods based on the so-called Blech Effect and on the maximum allowed current density are implemented during interconnect design. These methods, however, do not take into account the impact of the electromigration on the circuit performance. In this work the traditional approach is extended and a method to evaluate the effect of the electromigration in an integrated circuit performance is developed. The method is implemented in a tool which identifies the critical interconnect lines of an integrated circuit and suggests the proper interconnect width based on different criteria to mitigate the electromigration damage and to increase the reliability. In addition, the variation of performance parameters of the circuit as an interconnect resistance changes is determined. The tool is incorporated into the design flow of the integrated circuit and uses the data from design kits and reports directly available from the design environment. An accurate analysis of the temperature distribution on the interconnect structure is essential to a better assessment of the interconnect reliability. Therefore, a model to compute the temperature on each metallization level of the interconnect structure is implemented. The temperature distribution on the metallization layers of different technologies is investigated. It is shown that the temperature in the Metal 1 of the Intel 10 nm can increase by 75 K, 12 K higher than in the Metal 2. As expected, the layers that are closer to the transistors undergo a more significant temperature increase. The tool is applied to evaluate the interconnects and the robustness of different circuits, namely a ring oscillator, a bandgap voltage reference circuit, and an operational amplifier, against electromigration. The operational amplifier, in particular, is thoroughly studied. The proposed methodology identifies critical interconnects which under electromigration cause large variations in the performance of the circuit. In a worst-case scenario, the cutoff frequency of the circuit varies by 65% in 5 years of operation. An interesting finding is that the proposed methodology identifies critical interconnects which would not be identified by the traditional criteria. These interconnects have current densities below the limit recommended by the design rules. Nevertheless, one of such an interconnect leads to a variation of 30% in the gain of the operational amplifier. In summary, the proposed tool verified that from the 20% paths with a critical current density, only 3% degrades significantly the circuit performance. This work brings the study of the reliability of the interconnects and of integrated circuits to the design phase, which provides the assessment of a circuit performance degradation at an early stage of development. The developed tool allows the designer to identify critical interconnects which would not be detected using the maximum current density criterion, leading to more accurate analysis of the robustness of integrated circuitsDoutoradoEletrรดnica, Microeletrรดnica e OptoeletrรดnicaDoutor em Engenharia Elรฉtrica88882.329437/2019-01CAPE

    TOWARDS INTEGRATION OF GRAPHENE IN ADVANCED CMOS INTERCONNECT TECHNOLOGY

    Get PDF
    The integration of graphene into existing state-of-the-art semiconductor manufacturing is a topic of worldwide interest. With its unprecedented electrical, thermal and mechanical properties, graphene is ideally suited for back-end of line (BEOL) technology to boost the performance of on-chip copper (Cu) interconnects. However, the lack of BEOL compatible methods has stymied the true evaluation of Cu/graphene hybrid (Cu-G) technology. The objectives of this thesis proposal are to demonstrate BEOL-compatible graphene growth techniques, and explore various avenues for practical integration of graphene in order to achieve better electrical, thermal and reliability metrics than traditional interconnect technology

    DESIGN, MODELING, OPTIMIZATION, AND BENCHMARKING OF INTERCONNECTS AND SCALING TECHNOLOGIES AND THEIR CIRCUIT AND SYSTEM LEVEL IMPACT

    Get PDF
    This research focuses on the future of integrated circuit (IC) scaling technologies at the device and back end of line (BEOL) level. This work includes high level modeling of different technologies and quantifying potential performance gains on a circuit and system level. From the device side, this research looks at the scaling challenges and the future scaling drivers for conventional charge-based devices implemented at the 7nm technology node and beyond. It examines the system-level performance of stacking device logic in addition to tunneling field effect transistors (TFET) and their potential as beyond-CMOS devices. Finally, this research models and benchmarks BEOL scaling challenges and evaluates proposed technological advancements such as metal barrier scaling for copper interconnects and replacing local interconnects with ruthenium. Potential impact on performance, power, and area of these interconnect technologies is quantified for fully placed and routed circuits.Ph.D

    Carbon Nanotube Interconnects for End-of-Roadmap Semiconductor Technology Nodes

    Get PDF
    Advances in semiconductor technology due to aggressive downward scaling of on-chip feature sizes have led to rapid rises in resistivity and current density of interconnect conductors. As a result, current interconnect materials, Cu and W, are subject to performance and reliability constraints approaching or exceeding their physical limits. Therefore, alternative materials such as nanocarbons, metal silicides, and Ag nanowires are actively considered as potential replacements to meet such constraints. Among nanocarbons, carbon nanotube (CNT) is among the leading replacement candidate for on-chip interconnect vias due to its high aspect-ratio nanostructure and superior currentcarrying capacity to those of Cu, W, and other potential candidates. However, contact resistance of CNT with metal is a major bottleneck in device functionalization. To meet the challenge posed by contact resistance, several techniques are designed and implemented. First, the via fabrication and CNT growth processes are developed to increase the CNT packing density inside via and to ensure no CNT growth on via sidewalls. CNT vias with cross-sections down to 40 nm 40 nm are fabricated, which have linewidths similar to those used for on-chip interconnects in current integrated circuit manufacturing technology nodes. Then the via top contact is metallized to increase the total CNT area interfacing with the contact metal and to improve the contact quality and reproducibility. Current-voltage characteristics of individual fabricated CNT vias are measured using a nanoprober and contact resistance is extracted with a first-reported contact resistance extraction scheme for 40 nm linewidth. Based on results for 40 nm and 60 nm top-contact metallized CNT vias, we demonstrate that not only are their current-carrying capacities two orders of magnitude higher than their Cu and W counterparts, they are enhanced by reduced via resistance due to contact engineering. While the current-carrying capacities well exceed those projected for end-of-roadmap technology nodes, the via resistances remain a challenge to replace Cu and W, though our results suggest that further innovations in contact engineering could begin to overcome such challenge

    Investigation of Mn and Ti based self-forming barriers for future back-end-of-the-line interconnects

    Get PDF
    This thesis focusses on the investigation of the suitability of Mn and Ti-based self-forming barriers for the future generation of interconnects on both thermally grown SiO2 and low-k dielectrics. the self-forming barriers chemically interact with the insulating substrates forming diffusion barriers upon annealing and this fabrication approach has potential application in future generations of interconnect technologies as the resultant barriers can be significantly thinner than the conventionally deposited barrier layers. the principal in-situ characterisation techniques used to study the interface chemistry resulting from the interaction of deposited films with the insulating substrates were soft and hard X-ray photoelectron spectroscopy (XPS and HAXPES). secondary ion mass spectroscopy (SIMS) measurements provided information on the structure of the barriers which could be correlated with the XPS results while electrical measurements (four-point probe and CV measurements) helps in studying the feasibility of the self-forming barriers. Comparison of Mn-based diffusion barriers with and without the incorporation of nitrogen in the film showed that the introduction of nitrogen improved the adhesion of the copper to the dielectric while chemically both had similar interfaces. Cu based alloy films of Mn and Ti were prepared and analysed show that both alloying elements improve the adhesion and electrical characteristics compared to pure copper films. However, while Mn forms a dielectric barrier of manganese silicate, ultrathin films of Ti on SiO2 based dielectrics showed the preferential formation of titanium silicide. Thick cobalt/titanium alloy films were also investigated as a potential interconnect and showed the possibility of using a cobalt-based alloy as a replacement for copper and barrier stack for the future generation of interconnects
    • โ€ฆ
    corecore