3,962 research outputs found

    Development of an image converter of radical design

    Get PDF
    A long term investigation of thin film sensors, monolithic photo-field effect transistors, and epitaxially diffused phototransistors and photodiodes to meet requirements to produce acceptable all solid state, electronically scanned imaging system, led to the production of an advanced engineering model camera which employs a 200,000 element phototransistor array (organized in a matrix of 400 rows by 500 columns) to secure resolution comparable to commercial television. The full investigation is described for the period July 1962 through July 1972, and covers the following broad topics in detail: (1) sensor monoliths; (2) fabrication technology; (3) functional theory; (4) system methodology; and (5) deployment profile. A summary of the work and conclusions are given, along with extensive schematic diagrams of the final solid state imaging system product

    The Fifth NASA Symposium on VLSI Design

    Get PDF
    The fifth annual NASA Symposium on VLSI Design had 13 sessions including Radiation Effects, Architectures, Mixed Signal, Design Techniques, Fault Testing, Synthesis, Signal Processing, and other Featured Presentations. The symposium provides insights into developments in VLSI and digital systems which can be used to increase data systems performance. The presentations share insights into next generation advances that will serve as a basis for future VLSI design

    Doctor of Philosophy

    Get PDF
    dissertationThe design of integrated circuit (IC) requires an exhaustive verification and a thorough test mechanism to ensure the functionality and robustness of the circuit. This dissertation employs the theory of relative timing that has the advantage of enabling designers to create designs that have significant power and performance over traditional clocked designs. Research has been carried out to enable the relative timing approach to be supported by commercial electronic design automation (EDA) tools. This allows asynchronous and sequential designs to be designed using commercial cad tools. However, two very significant holes in the flow exist: the lack of support for timing verification and manufacturing test. Relative timing (RT) utilizes circuit delay to enforce and measure event sequencing on circuit design. Asynchronous circuits can optimize power-performance product by adjusting the circuit timing. A thorough analysis on the timing characteristic of each and every timing path is required to ensure the robustness and correctness of RT designs. All timing paths have to conform to the circuit timing constraints. This dissertation addresses back-end design robustness by validating full cyclical path timing verification with static timing analysis and implementing design for testability (DFT). Circuit reliability and correctness are necessary aspects for the technology to become commercially ready. In this study, scan-chain, a commercial DFT implementation, is applied to burst-mode RT designs. In addition, a novel testing approach is developed along with scan-chain to over achieve 90% fault coverage on two fault models: stuck-at fault model and delay fault model. This work evaluates the cost of DFT and its coverage trade-off then determines the best implementation. Designs such as a 64-point fast Fourier transform (FFT) design, an I2C design, and a mixed-signal design are built to demonstrate power, area, performance advantages of the relative timing methodology and are used as a platform for developing the backend robustness. Results are verified by performing post-silicon timing validation and test. This work strengthens overall relative timed circuit flow, reliability, and testability

    Computational structures for application specific VLSI processors

    Get PDF

    Automatic test pattern generation for asynchronous circuits

    Get PDF
    The testability of integrated circuits becomes worse with transistor dimensions reaching nanometer scales. Testing, the process of ensuring that circuits are fabricated without defects, becomes inevitably part of the design process; a technique called design for test (DFT). Asynchronous circuits have a number of desirable properties making them suitable for the challenges posed by modern technologies, but are severely limited by the unavailability of EDA tools for DFT and automatic test-pattern generation (ATPG). This thesis is motivated towards developing test generation methodologies for asynchronous circuits. In total four methods were developed which are aimed at two different fault models: stuck-at faults at the basic logic gate level and transistor-level faults. The methods were evaluated using a set of benchmark circuits and compared favorably to previously published work. First, ABALLAST is a partial-scan DFT method adapting the well-known BALLAST technique for asynchronous circuits where balanced structures are used to guide the selection of the state-holding elements that will be scanned. The test inputs are automatically provided by a novel test pattern generator, which uses time frame unrolling to deal with the remaining, non-scanned sequential C-elements. The second method, called AGLOB, uses algorithms from strongly-connected components in graph graph theory as a method for finding the optimal position of breaking the loops in the asynchronous circuit and adding scan registers. The corresponding ATPG method converts cyclic circuits into acyclic for which standard tools can provide test patterns. These patterns are then automatically converted for use in the original cyclic circuits. The third method, ASCP, employs a new cycle enumeration method to find the loops present in a circuit. Enumerated cycles are then processed using an efficient set covering heuristic to select the scan elements for the circuit to be tested.Applying these methods to the benchmark circuits shows an improvement in fault coverage compared to previous work, which, for some circuits, was substantial. As no single method consistently outperforms the others in all benchmarks, they are all valuable as a designer’s suite of tools for testing. Moreover, since they are all scan-based, they are compatible and thus can be simultaneously used in different parts of a larger circuit. In the final method, ATRANTE, the main motivation of developing ATPG is supplemented by transistor level test generation. It is developed for asynchronous circuits designed using a State Transition Graph (STG) as their specification. The transistor-level circuit faults are efficiently mapped onto faults that modify the original STG. For each potential STG fault, the ATPG tool provides a sequence of test vectors that expose the difference in behavior to the output ports. The fault coverage obtained was 52-72 % higher than the coverage obtained using the gate level tests. Overall, four different design for test (DFT) methods for automatic test pattern generation (ATPG) for asynchronous circuits at both gate and transistor level were introduced in this thesis. A circuit extraction method for representing the asynchronous circuits at a higher level of abstraction was also implemented. Developing new methods for the test generation of asynchronous circuits in this thesis facilitates the test generation for asynchronous designs using the CAD tools available for testing the synchronous designs. Lessons learned and the research questions raised due to this work will impact the future work to probe the possibilities of developing robust CAD tools for testing the future asynchronous designs

    High Quality Delay Testing Scheme for a Self-Timed Microprocessor

    Get PDF
    RÉSUMÉ La popularitĂ© d’internet et la quantitĂ© toujours croissante de donnĂ©es qui transitent Ă  travers ses terminaux nĂ©cessite d’importantes infrastructures de serveurs qui consomment Ă©normĂ©ment d’énergie. Par consĂ©quent, et puisqu’une augmentation de la consommation d’énergie se traduit par une augmentation des coĂ»ts, la demande pour des processeurs efficaces en Ă©nergie est en forte hausse. Une maniĂšre d’augmenter l’efficacitĂ© Ă©nergĂ©tique des processeurs consiste Ă  moduler la frĂ©quence d’opĂ©ration du systĂšme en fonction de la charge de travail. Les processeurs endochrones et asynchrones sont une des solutions mettant en Ɠuvre ce principe de modulation de l’activitĂ© Ă  la demande. Cependant, les mĂ©thodes de conception non conventionnelles qui leur sont associĂ©es, en particulier en termes de testabilitĂ© et d’automation, sont un frein au dĂ©veloppement de ce type de systĂšmes. Ce travail s’intĂ©resse au dĂ©veloppement d’une mĂ©thode de test de haute qualitĂ© adressĂ©e aux pannes de retards dans une architecture de processeur endochrone spĂ©cifique, appelĂ©e AnARM. La mĂ©thode proposĂ©e consiste Ă  dĂ©tecter les pannes Ă  faibles retards (PFR) dans l’AnARM en tirant profit des lignes Ă  dĂ©lais configurables intĂ©grĂ©es. Ces pannes sont connues pour passer au travers des modĂšles de pannes de retards utilisĂ©s habituellement (les pannes de retards de portes). Ce travail s’intĂ©resse principalement aux PFR qui Ă©chappent Ă  la dĂ©tection des pannes de retards de portes mais qui sont suffisamment longues pour provoquer des erreurs dans des conditions normales d’opĂ©ration. D’autre part, la dĂ©tection de pannes Ă  trĂšs faibles retards est Ă©vitĂ©e, autant que possible, afin de limiter le nombre de faux positifs. Pour rĂ©aliser un test de haute qualitĂ©, ce travail propose, dans un premier temps, une mĂ©trique de test dĂ©diĂ©e aux PFR, qui est mieux adaptĂ©e aux circuits endochrones, puis, dans un second temps, une mĂ©thode de test des pannes de retards basĂ©e sur la modulation de la vitesse des lignes Ă  dĂ©lais intĂ©grĂ©s, qui s’adapte Ă  un jeu de vecteurs de test prĂ©existant.Ce travail prĂ©sente une mĂ©trique de test ciblant les PFR, appelĂ©e pourcentage de marges pondĂ©rĂ©es (PoMP), ainsi qu’un nouveau modĂšle de test pour les PFR (appelĂ© test de PFR idĂ©al).----------ABSTRACT The popularity of the Internet and the huge amount of data that is transfered between devices nowadays requires very powerful servers that demand lots of power. Since higher power consumptions mean more expenses to companies, there is an increase in demand for power eĂżcient processors. One of the ways to increase the power eĂżciency of processors is to adapt the processing speeds and chip activity according the needed computation load. Self-timed or asynchronous processors are one of the solutions that apply this principle of activity on demand. However, their unconventional design methodology introduces several challenges in terms of testability and design automation. This work focuses on developing a high quality delay test for a specific architecture of self-timed processors called the AnARM. The proposed delay test focuses on catching e˙ective small-delay defects (SDDs) in the AnARM by taking advantage of built-in configurable delay lines. Those defects are known to escape one of the most commonly used delay fault models (the transition delay fault model). This work mainly focuses on e˙ective SDDs which can escape transition delay fault testing and are large enough to fail the circuit under normal operating conditions. At the same time, catching very small delay defects is avoided, when possible, to avoid falsely failing functional chips. To build the high quality delay test, this work develops an SDD test quality metric that is better suited for circuits with adaptable speeds. Then, it builds a delay test optimizer that adapts the built-in delay lines speeds to a preexisting at-speed pattern set to create a high quality SDD test. This work presents a novel SDD test quality metric called the weighted slack percentage (WeSPer), along with a new SDD testing model (named the ideal SDD test model). WeSPer is built to be a flexible metric capable of adapting to the availability of information about the circuit under test and the test environment. Since the AnARM can use multiple test speeds, WeSPer computation takes special care of assessing the effects of test frequency changes on the test quality. Specifically, special care is taken into avoiding overtesting the circuit. Overtesting will cause circuits under test to fail due to defects that are too small to affect the functionality of these circuits in their present state. A computation framework is built to compute WeSPer and compare it with other existing metrics in the literature over a large sets of process-voltage-temperature computation points. Simulations are done on a selected set of known benchmark circuits synthesized in the 28nm FD-SOI technology from STMicroelectronics

    Rolling contact fatigue failures in silicon nitride and their detection

    No full text
    The project investigates the feasibility of using sensor-based detection and processing systems to provide a reliable means of monitoring rolling contact fatigue (RCF) wear failures of silicon nitride in hybrid bearings. To fulfil this investigation, a decision was made early in the project to perform a series of hybrid rolling wear tests using a twin disc machine modified for use on hybrid bearing elements.The initial part of the thesis reviews the current understanding of the general wear mechanisms and RCF with a specific focus to determine the appropriate methods for their detection in hybrid bearings. The study focusses on vibration, electrostatic and acoustic emission (AE) techniques and reviews their associated sensing technologies currently deployed with a view of adapting them for use in hybrids. To provide a basis for the adaptation, an understanding of the current sensor data enhancement and feature extraction methods is presented based on a literature review.The second part describes the test equipment, its modifications and instrumentation required to capture and process the vibration, electrostatic and AE signals generated in hybrid elements. These were identified in an initial feasibility test performed on a standard twin disc machine. After a detailed description of the resulting equipment, the thesis describes the calibration tests aimed to provide base data for the development of the signal processing methods.The development of the signal processing techniques is described in detail for each of the sensor types. Time synchronous averaging (TSA) technique is used to identify the location of the signal sources along the surfaces of the specimens and the signals are enhanced by additional filtering techniques.The next part of the thesis describes the main hybrid rolling wear tests; it details the selection of the run parameters and the samples seeded with surface cracks to cover a variety of situations, the method of execution of each test run, and the techniques to analyse the results.The research establishes that two RCF fault types are produced in the silicon nitride rolling element reflecting essentially different mechanisms in their distinct and separate development; i) cracks, progressing into depth and denoted in this study as C-/Ring crack Complex (CRC) and ii) Flaking, progressing primarily on the surface by spalls. Additionally and not reported in the literature, an advanced stage of the CRC fault type composed of multiple and extensive c-cracks is interpreted as the result of induced sliding in these runs. In general, having reached an advanced stage, both CRC and Flaking faults produce significant wear in the steel counterface through abrasion, plastic deformation or 3-body abrasion in at least three possible ways, all of which are described in details

    A SAT Based Test Generation Method for Delay Fault Testing of Macro Based Circuits

    Full text link

    AN INTEGRATED CONTROL MODEL FOR FREEWAY INTERCHANGES

    Get PDF
    This dissertation proposes an integrated control framework to deal with traffic congestion at freeway interchanges. In the neighborhood of freeway interchanges, there are six potential problems that could cause severe congestion, namely lane-blockage, link-blockage, green time starvation, on-ramp queue spillback to the upstream arterial, off-ramp queue spillback to the upstream freeway segments, and freeway mainline queue spillback to the upstream interchange. The congestion problem around freeway interchanges cannot be solved separately either on the freeways or on the arterials side. To eliminate this congestion, we should balance the delays of freeways and arterials and improve the overall system performance instead of individual subsystem performance. This dissertation proposes an integrated framework which handles interchange congestion according to its severity level with different models. These models can generate effective control strategies to achieve near optimal system performance by balancing the freeway and arterial delays. The following key contributions were made in this dissertation: 1. Formulated the lane-blockage problem between the movements of an arterial intersection approach as an linear program with the proposed sub-cell concept, and proposed an arterial signal optimization model under oversaturated traffic conditions; 2. Formulated the traffic dynamics of a freeway segment with cell-transmission concept, while considering the exit queue effects on its neighboring through lane traffic with the proposed capacity model, which is able to take the lateral friction into account; 3. Developed an integrated control model for multiple freeway interchanges, which can capture the off-ramp spillback, freeway mainline spillback, and arterial lane and link blockage simultaneously; 4. Explored the effectiveness of different solution algorithms (GA, SA, and SA-GA) for the proposed integrated control models, and conducted a statistical goodness check for the proposed algorithms, which has demonstrated the advantages of the proposed model; 5. Conducted intensive numerical experiments for the proposed control models, and compared the performance of the optimized signal timings from the proposed models with those from Transyt-7F by CORSIM simulations. These comparisons have demonstrated the advantages of the proposed models, especially under oversaturated traffic conditions
    • 

    corecore