570 research outputs found

    Design of Low-Power NRZ/PAM-4 Wireline Transmitters

    Get PDF
    Rapid growing demand for instant multimedia access in a myriad of digital devices has pushed the need for higher bandwidth in modern communication hardwares ranging from short-reach (SR) memory/storage interfaces to long-reach (LR) data center Ethernets. At the same time, comprehensive design optimization of link system that meets the energy-efficiency is required for mobile computing and low operational cost at datacenters. This doctoral study consists of design of two low-swing wireline transmitters featuring a low-power clock distribution and 2-tap equalization in energy-efficient manners up to 20-Gb/s operation. In spite of the reduced signaling power in the voltage-mode (VM) transmit driver, the presence of the segment selection logic still diminishes the power saving benefit. The first work presents a scalable VM transmitter which offers low static power dissipation and adopts an impedance-modulated 2-tap equalizer with analog tap control, thereby obviating driver segmentation and reducing pre-driver complexity and dynamic power. Per-channel quadrature clock generation with injection-locked oscillators (ILO) allows the generation of rail-to-rail quadrature clocks. Energy efficiency is further improved with capacitively driven low-swing global clock distribution and supply scaling at lower data rates, while output eye quality is maintained at low voltages with automatic phase calibration of the local ILO-generated quarter-rate clocks. A prototype fabricated in a general purpose 65 nm CMOS process includes a 2 mm global clock distribution network and two transmitters that support an output swing range of 100-300mV with up to 12-dB of equalization. The transmitters achieve 8-16 Gb/s operation at 0.65-1.05 pJ/b energy efficiency. The second work involves a dual-mode NRZ/PAM-4 differential low-swing voltage-mode (VM) transmitter. The pulse-selected output multiplexing allows reduction of power supply and deterministic jitter caused by large on-chip parasitic inherent in the transmission-gate-based multiplexers in the earlier work. Analog impedance control replica circuits running in the background produce gate-biasing voltages that control the peaking ratio for 2-tap feed-forward equalization and PAM-4 symbol levels for high-linearity. This analog control also allows for efficient generation of the middle levels in PAM-4 operation with good linearity quantified by level separation mismatch ratio of 95%. In NRZ mode, 2-tap feedforward equalization is configurable in high-performance controlled-impedance or energy-efficient impedance-modulated settings to provide performance scalability. Analytic design consideration on dynamic power, data-rate, mismatch, and output swing brings optimal performance metric on the given technology node. The proof-of-concept prototype is verified on silicon with 65 nm CMOS process with improved performance in speed and energy-efficiency owing to double-stack NMOS transistors in the output stage. The transmitter consumes as low as 29.6mW in 20-Gb/s NRZ and 25.5mW in the 28-Gb/s PAM-4 operations

    Phase and amplitude pre-emphasis techniques for low-power serial links

    Get PDF
    A novel approach to equalization of high-speed serial links combines both amplitude pre-emphasis to correct for intersymbol interference and phase pre-emphasis to compensate for deterministic jitter, in particular, data-dependent jitter. Phase pre-emphasis augments the performance of low power transmitters in bandwidth-limited channels. The transmitter circuit is implemented in a 90-nm bulk CMOS process and reduces power consumption by pushing CMOS static logic to the output stage, a 4:1 output multiplexer. The received signal jitter over a cable is reduced from 16.15 ps to 10.29 ps with only phase pre-emphasis at the transmitter. The jitter is reduced by 3.6 ps over an FR-4 backplane interconnect. A transmitter without phase pre-emphasis consumes 18 mW of power at 6Gb/s and 600mVpp output swing, a power budget of 3mW/Gb/s, while a transmitter with phase pre-emphasis consumes 24mW, a budget of 4 mW/Gb/s

    An Energy-Efficient Reconfigurable Mobile Memory Interface for Computing Systems

    Get PDF
    The critical need for higher power efficiency and bandwidth transceiver design has significantly increased as mobile devices, such as smart phones, laptops, tablets, and ultra-portable personal digital assistants continue to be constructed using heterogeneous intellectual properties such as central processing units (CPUs), graphics processing units (GPUs), digital signal processors, dynamic random-access memories (DRAMs), sensors, and graphics/image processing units and to have enhanced graphic computing and video processing capabilities. However, the current mobile interface technologies which support CPU to memory communication (e.g. baseband-only signaling) have critical limitations, particularly super-linear energy consumption, limited bandwidth, and non-reconfigurable data access. As a consequence, there is a critical need to improve both energy efficiency and bandwidth for future mobile devices.;The primary goal of this study is to design an energy-efficient reconfigurable mobile memory interface for mobile computing systems in order to dramatically enhance the circuit and system bandwidth and power efficiency. The proposed energy efficient mobile memory interface which utilizes an advanced base-band (BB) signaling and a RF-band signaling is capable of simultaneous bi-directional communication and reconfigurable data access. It also increases power efficiency and bandwidth between mobile CPUs and memory subsystems on a single-ended shared transmission line. Moreover, due to multiple data communication on a single-ended shared transmission line, the number of transmission lines between mobile CPU and memories is considerably reduced, resulting in significant technological innovations, (e.g. more compact devices and low cost packaging to mobile communication interface) and establishing the principles and feasibility of technologies for future mobile system applications. The operation and performance of the proposed transceiver are analyzed and its circuit implementation is discussed in details. A chip prototype of the transceiver was implemented in a 65nm CMOS process technology. In the measurement, the transceiver exhibits higher aggregate data throughput and better energy efficiency compared to prior works

    CMOS Transmitter using Pulse-Width Modulation Pre-Emphasis achieving 33dB Loss Compensation at 5-Gb/s

    Get PDF
    A digital transmitter pre-emphasis technique is presented that is based on pulse-width modulation, instead of finite impulse response (FIR) filtering. The technique fits well to future high-speed low-voltage CMOS processes. A 0.13 /spl mu/m CMOS transmitter achieves more than 5 Gb/s (2-PAM) over 25 m of standard RG-58U low-end coaxial copper cable. The test chip compensates for up to 33 dB of channel loss at the fundamental signaling frequency (2.5 GHz), which is the highest figure compared to literature

    Electro-Photonic Transmitter Front-Ends for High-Speed Fiber-Optic Communication

    Get PDF
    This thesis addresses basic scientific research in the field of transmitter front-end circuits for electro-optical data communication. First, the theoretical fundamentals are presented and analyzed. Based on the theoretical considerations, conceptual circuit designs are studied. Finally, in order to prove the described concepts, the circuits were experimentally characterized and subsequently compared to other works in the literature. The analysis covers key theoretical aspects regarding transmitter front-end circuits. It starts from the basic physical effects inside a transistor and ends with the design of high-swing modulator drivers. Furthermore, it comprises the fundamentals of optical modulators as well as the integration of the electrical driver with the modulator. First, the concept of a basic monolithically integrated transmitter consisting of a Mach-Zehnder modulator (MZM) and an electrical driver is presented. The circuit reaches a bit-error-free data rate of 37 Gb/s, which is a record among other monolithically integrated transmitters reported in the literature. It was shown that by employing a high-swing driver, high extinction ratios (ER) can be achieved (namely 8.4 dB at 25 Gb/s and 7.6 dB at 35 Gb/s) while using short-length phase shifters (2 mm of length). It was therefore proved that one of the main drawbacks of the MZM-based transmitters, namely their large chip area, can be mitigated by using high-swing drivers, however without sacrificing the ER. Next, an improved modulator driver design is investigated, the focus of the study being the linearity. In addition to a high peak-to-peak differential output voltage swing of 7.2 Vpp,d, the driver achieves record-low total harmonic distortion (THD) values of 1% (at 1 GHz, for the output swing of 6.5 Vpp,d) and 1.7% (at 1 GHz, for the output swing of 7 Vpp,d). Moreover, the driver reaches a bandwidth of 61.2 GHz and shows a high power efficiency when relating its DC power consumption to its output voltage swing. The achievement of a high linearity and bandwidth without an increased power consumption is due to the fact that the bias currents of the emitter-follower (EF) stages are provided by means of resistors instead of the conventional current sources. The two approaches were first analyzed mathematically and subsequently compared by means of circuit simulations. It was shown that the proposed approach for the realization of the EFs โ€“ i.e. by means of resistors โ€“ allows a reduction of the DC power consumption by 19% compared to the current-source approach for an equivalent performance in terms of linearity and bandwidth. Finally, a modulator driver concept suitable for higher-order modulation formats is studied, namely the 8-level pulse amplitude modulation (PAM-8). The circuit was realized as a 3-bit digital-to-analog converter (DAC), thus being able to yield 8-level output signals. Moreover, the circuit is able to function as a PAM-4 driver as well, thanks to the tunable tail currents of the DAC core. It achieves a symbol rate of 50 Gbaud, which corresponds to a bit rate of 150 Gb/s for the PAM-8 modulation and 100 Gb/s for PAM-4. The study showed that a modulator driver can be realized that is able to switch between different modulation formats (namely PAM-8 and PAM-4), without requiring extra power or additional circuit parts. Moreover, the use of on-chip single-to-differential converters (SDCs) targets the relaxation of the requirements on the stages that precede the driver. Finally, relating its DC power consumption (590 mW, including the SDCs) to its output voltage swing (4 Vpp,d), the driver shows one of the highest power efficiencies among PAM modulator drivers in the literature

    Silicon-Organic Hybrid (SOH) Mach-Zehnder Modulators for 100 Gbit/s On-Off Keying

    Get PDF
    Electro-optic modulators for high-speed on-off keying (OOK) are key components of short- and mediumreach interconnects in data-center networks. Besides small footprint and cost-efficient large-scale production, small drive voltages and ultra-low power consumption are of paramount importance for such devices. Here we demonstrate that the concept of silicon-organic hybrid (SOH) integration is perfectly suited for meeting these challenges. The approach combines the unique processing advantages of large-scale silicon photonics with unrivalled electro-optic (EO) coefficients obtained by molecular engineering of organic materials. In our proof-of-concept experiments, we demonstrate generation and transmission of OOK signals with line rates of up to 100 Gbit/s using a 1.1 mm-long SOH Mach-Zehnder modulator (MZM) which features a {\pi}-voltage of only 0.9 V. This experiment represents not only the first demonstration of 100 Gbit/s OOK on the silicon photonic platform, but also leads to the lowest drive voltage and energy consumption ever demonstrated at this data rate for a semiconductor-based device. We support our experimental results by a theoretical analysis and show that the nonlinear transfer characteristic of the MZM can be exploited to overcome bandwidth limitations of the modulator and of the electric driver circuitry. The devices are fabricated in a commercial silicon photonics line and can hence be combined with the full portfolio of standard silicon photonic devices. We expect that high-speed power-efficient SOH modulators may have transformative impact on short-reach optical networks, enabling compact transceivers with unprecedented energy efficiency that will be at the heart of future Ethernet interfaces at Tbit/s data rates

    ์ฐจ์„ธ๋Œ€ ์ž๋™์ฐจ์šฉ ์นด๋ฉ”๋ผ ๋ฐ์ดํ„ฐ ํ†ต์‹ ์„ ์œ„ํ•œ ๋น„๋Œ€์นญ ๋™์‹œ ์–‘๋ฐฉํ–ฅ ์†ก์ˆ˜์‹ ๊ธฐ์˜ ์„ค๊ณ„

    Get PDF
    ํ•™์œ„๋…ผ๋ฌธ(๋ฐ•์‚ฌ) -- ์„œ์šธ๋Œ€ํ•™๊ต๋Œ€ํ•™์› : ๊ณต๊ณผ๋Œ€ํ•™ ์ „๊ธฐยท์ •๋ณด๊ณตํ•™๋ถ€, 2022.2. ์ •๋•๊ท .๋ณธ ํ•™์œ„ ๋…ผ๋ฌธ์—์„œ๋Š” ์ฐจ์„ธ๋Œ€ ์ž๋™์ฐจ์šฉ ์นด๋ฉ”๋ผ ๋งํฌ๋ฅผ ์œ„ํ•ด ๋†’์€ ์†๋„์˜ 4๋ ˆ๋ฒจ ํŽ„์Šค ์ง„ํญ ๋ณ€์กฐ ์‹ ํ˜ธ์™€ ๋‚ฎ์€ ์†๋„์˜ 2๋ ˆ๋ฒจ ํŽ„์Šค ์ง„ํญ ๋ณ€์กฐ ์‹ ํ˜ธ๋ฅผ ํ†ต์‹ ํ•˜๋Š” ๋น„๋Œ€์นญ ๋™์‹œ ์–‘๋ฐฉํ–ฅ ์†ก์ˆ˜์‹ ๊ธฐ์˜ ์„ค๊ณ„ ๊ธฐ์ˆ ์— ๋Œ€ํ•ด ์ œ์•ˆํ•˜๊ณ  ๊ฒ€์ฆ๋˜์—ˆ๋‹ค. ์ฒซ๋ฒˆ์งธ ํ”„๋กœํ† ํƒ€์ž… ์„ค๊ณ„์—์„œ๋Š”, 10B6Q ์ง๋ฅ˜ ๋ฐธ๋Ÿฐ์Šค ์ฝ”๋“œ๋ฅผ ํƒ‘์žฌํ•œ 4๋ ˆ๋ฒจ ํŽ„์Šค ์ง„ํญ ๋ณ€์กฐ ์†ก์‹ ๊ธฐ์™€ ๊ณ ์ •๋œ ๋ฐ์ดํ„ฐ์™€ ์ฐธ์กฐ ๋ ˆ๋ฒจ์„ ๊ฐ€์ง€๋Š” 4๋ ˆ๋ฒจ ํŽ„์Šค ์ง„ํญ ๋ณ€์กฐ ์ ์‘ํ˜• ์ˆ˜์‹ ๊ธฐ์— ๋Œ€ํ•œ ๋‚ด์šฉ์ด ๊ธฐ์ˆ ๋˜์—ˆ๋‹ค. 4๋ ˆ๋ฒจ ํŽ„์Šค ์ง„ํญ ๋ณ€์กฐ ์†ก์‹ ๊ธฐ์—์„œ๋Š” ๊ต๋ฅ˜ ์—ฐ๊ฒฐ ๋งํฌ ์‹œ์Šคํ…œ์— ๋Œ€์‘ํ•˜๊ธฐ ์œ„ํ•œ ๋ฉด์  ๋ฐ ์ „๋ ฅ ํšจ์œจ์„ฑ์ด ์ข‹์€ 10B6Q ์ฝ”๋“œ๊ฐ€ ์ œ์•ˆ๋˜์—ˆ๋‹ค. ์ด ์ฝ”๋“œ๋Š” ์ง๋ฅ˜ ๋ฐธ๋Ÿฐ์Šค๋ฅผ ๋งž์ถ”๊ณ  ์—ฐ์†์ ์œผ๋กœ ๊ฐ™์€ ์‹ฌ๋ณผ์„ ๊ฐ€์ง€๋Š” ๊ธธ์ด๋ฅผ 6๊ฐœ๋กœ ์ œํ•œ ์‹œํ‚จ๋‹ค. ๋น„๋ก ์—ฌ๊ธฐ์„œ๋Š” ์ž…๋ ฅ ๋ฐ์ดํ„ฐ ๊ธธ์ด 10๋น„ํŠธ๋ฅผ ์‚ฌ์šฉํ•˜์˜€์ง€๋งŒ, ์ œ์•ˆ๋œ ๊ธฐ์ˆ ์€ ์นด๋ฉ”๋ผ์˜ ๋‹ค์–‘ํ•œ ๋ฐ์ดํ„ฐ ํƒ€์ž…์— ๋Œ€์‘ํ•  ์ˆ˜ ์žˆ๋„๋ก ์ž…๋ ฅ ๋ฐ์ดํ„ฐ ๊ธธ์ด์— ๋Œ€ํ•œ ํ™•์žฅ์„ฑ์„ ๊ฐ€์ง„๋‹ค. ๋ฐ˜๋ฉด, 4๋ ˆ๋ฒจ ํŽ„์Šค ์ง„ํญ ๋ณ€์กฐ ์ ์‘ํ˜• ์ˆ˜์‹ ๊ธฐ์—์„œ๋Š”, ์ƒ˜ํ”Œ๋Ÿฌ์˜ ์˜ต์…‹์„ ์ตœ์ ์œผ๋กœ ์ œ๊ฑฐํ•˜์—ฌ ๋” ๋‚ฎ์€ ๋น„ํŠธ์—๋Ÿฌ์œจ์„ ์–ป๊ธฐ ์œ„ํ•ด์„œ, ๊ธฐ์กด์˜ ๋ฐ์ดํ„ฐ ๋ฐ ์ฐธ์กฐ ๋ ˆ๋ฒจ์„ ์กฐ์ ˆํ•˜๋Š” ๋Œ€์‹ , ์ด ๋ ˆ๋ฒจ๋“ค์€ ๊ณ ์ •์‹œํ‚ค๊ณ  ๊ฐ€๋ณ€ ๊ฒŒ์ธ ์ฆํญ๊ธฐ๋ฅผ ์ ์‘ํ˜•์œผ๋กœ ์กฐ์ ˆํ•˜๋„๋ก ํ•˜์˜€๋‹ค. ์ƒ๊ธฐ 10B6Q ์ฝ”๋“œ ๋ฐ ๊ณ ์ • ๋ฐ์ดํ„ฐ ๋ฐ ์ฐธ์กฐ๋ ˆ๋ฒจ ๊ธฐ์ˆ ์„ ๊ฐ€์ง„ ํ”„๋กœํ† ํƒ€์ž… ์นฉ๋“ค์€ 40 ๋‚˜๋…ธ๋ฏธํ„ฐ ์ƒํ˜ธ๋ณด์™„ํ˜• ๋ฉ”ํƒˆ ์‚ฐํ™” ๋ฐ˜๋„์ฒด ๊ณต์ •์œผ๋กœ ์ œ์ž‘๋˜์—ˆ๊ณ  ์นฉ ์˜จ ๋ณด๋“œ ํ˜•ํƒœ๋กœ ํ‰๊ฐ€๋˜์—ˆ๋‹ค. 10B6Q ์ฝ”๋“œ๋Š” ํ•ฉ์„ฑ ๊ฒŒ์ดํŠธ ์ˆซ์ž๋Š” 645๊ฐœ์™€ ํ•จ๊ป˜ ๋‹จ 0.0009 mm2 ์˜ ๋ฉด์  ๋งŒ์„ ์ฐจ์ง€ํ•œ๋‹ค. ๋˜ํ•œ, 667 MHz ๋™์ž‘ ์ฃผํŒŒ์ˆ˜์—์„œ ๋‹จ 0.23 mW ์˜ ์ „๋ ฅ์„ ์†Œ๋ชจํ•œ๋‹ค. 10B6Q ์ฝ”๋“œ๋ฅผ ํƒ‘์žฌํ•œ ์†ก์‹ ๊ธฐ์—์„œ 8-Gb/s 4๋ ˆ๋ฒจ ํŽ„์Šค ์ง„ํญ ๋ณ€์กฐ ์‹ ํ˜ธ๋ฅผ ๊ณ ์ • ๋ฐ์ดํ„ฐ ๋ฐ ์ฐธ์กฐ ๋ ˆ๋ฒจ์„ ๊ฐ€์ง€๋Š” ์ ์‘ํ˜• ์ˆ˜์‹ ๊ธฐ๋กœ 12-m ์ผ€์ด๋ธ” (22-dB ์ฑ„๋„ ๋กœ์Šค) ์„ ํ†ตํ•ด์„œ ๋ณด๋‚ธ ๊ฒฐ๊ณผ ์ตœ์†Œ ๋น„ํŠธ ์—๋Ÿฌ์œจ 108 ์„ ๋‹ฌ์„ฑํ•˜์˜€๊ณ , ๋น„ํŠธ ์—๋Ÿฌ์œจ 105 ์—์„œ๋Š” ์•„์ด ๋งˆ์ง„์ด 0.15 UI x 50 mV ๋ณด๋‹ค ํฌ๊ฒŒ ์ธก์ •๋˜์—ˆ๋‹ค. ์†ก์ˆ˜์‹ ๊ธฐ๋ฅผ ํ•ฉ์นœ ์ „๋ ฅ ์†Œ๋ชจ๋Š” 65.2 mW (PLL ์ œ์™ธ) ์ด๊ณ , ์„ฑ๊ณผ์˜ ๋Œ€ํ‘œ์ˆ˜์น˜๋Š” 0.37 pJ/b/dB ๋ฅผ ๋ณด์—ฌ์ฃผ์—ˆ๋‹ค. ์ฒซ๋ฒˆ์งธ ํ”„๋กœํ† ํƒ€์ž… ์„ค๊ณ„์„ ํฌํ•จํ•˜์—ฌ ๊ฐœ์„ ๋œ ๋‘๋ฒˆ์งธ ํ”„๋กœํ† ํƒ€์ž… ์„ค๊ณ„์—์„œ๋Š”, 12-Gb/s 4๋ ˆ๋ฒจ ํŽ„์Šค ์ง„ํญ ๋ณ€์กฐ ์ •๋ฐฉํ–ฅ ์ฑ„๋„ ์‹ ํ˜ธ์™€ 125-Mb/s 2๋ ˆ๋ฒจ ํŽ„์Šค ์ง„ํญ ๋ณ€์กฐ ์—ญ๋ฐฉํ–ฅ ์ฑ„๋„ ์‹ ํ˜ธ๋ฅผ ํƒ‘์žฌํ•œ ๋น„๋Œ€์นญ ๋™์‹œ ์–‘๋ฐฉํ–ฅ ์†ก์ˆ˜์‹ ๊ธฐ์— ๋Œ€ํ•ด ๊ธฐ์ˆ ๋˜๊ณ  ๊ฒ€์ฆ๋˜์—ˆ๋‹ค. ์ œ์•ˆ๋œ ๋„“์€ ์„ ํ˜• ๋ฒ”์œ„๋ฅผ ๊ฐ€์ง€๋Š” ํ•˜์ด๋ธŒ๋ฆฌ๋“œ๋Š” gmC ์ €๋Œ€์—ญ ํ†ต๊ณผ ํ•„ํ„ฐ์™€ ์—์ฝ” ์ œ๊ฑฐ๊ธฐ์™€ ํ•จ๊ป˜ ์•„์›ƒ๋ฐ”์šด๋“œ ์‹ ํ˜ธ๋ฅผ 24 dB ์ด์ƒ ํšจ์œจ์ ์œผ๋กœ ๊ฐ์†Œ์‹œ์ผฐ๋‹ค. ๋˜ํ•œ, ๋„“์€ ์„ ํ˜• ๋ฒ”์œ„๋ฅผ ๊ฐ€์ง€๋Š” ํ•˜์ด๋ธŒ๋ฆฌ๋“œ์™€ ํ•จ๊ป˜ ๊ฒŒ์ธ ๊ฐ์†Œ๊ธฐ๋ฅผ ํ˜•์„ฑํ•˜๊ฒŒ ๋˜๋Š” ์„ ํ˜• ๋ฒ”์œ„ ์ฆํญ๊ธฐ๋ฅผ ํ†ตํ•ด 4๋ ˆ๋ฒจ ํŽ„์Šค ์ง„ํญ ๋ณ€์กฐ ์‹ ํ˜ธ์˜ ์„ ํ˜•์„ฑ๊ณผ ์ง„ํญ์˜ ํŠธ๋ ˆ์ด๋“œ ์˜คํ”„ ๊ด€๊ณ„๋ฅผ ๊นจ๋Š” ๊ฒƒ์ด ๊ฐ€๋Šฅํ•˜์˜€๋‹ค. ๋™์‹œ ์–‘๋ฐฉํ–ฅ ์†ก์ˆ˜์‹ ๊ธฐ ์นฉ์€ 40 ๋‚˜๋…ธ๋ฏธํ„ฐ ์ƒํ˜ธ๋ณด์™„ํ˜• ๋ฉ”ํƒˆ ์‚ฐํ™” ๋ฐ˜๋„์ฒด ๊ณต์ •์œผ๋กœ ์ œ์ž‘๋˜์—ˆ๋‹ค. ์ƒ๊ธฐ ์„ค๊ณ„ ๊ธฐ์ˆ ๋“ค์„ ์ด์šฉํ•˜์—ฌ, 4๋ ˆ๋ฒจ ํŽ„์Šค ์ง„ํญ ๋ณ€์กฐ ๋ฐ 2๋ ˆ๋ฒจ ํŽ„์Šค ์ง„ํญ ๋ณ€์กฐ ์†ก์ˆ˜์‹ ๊ธฐ ๋ชจ๋‘ 5m ์ฑ„๋„ (์ฑ„๋„ ๋กœ์Šค 15.9 dB) ์—์„œ 1E-12 ๋ณด๋‹ค ๋‚ฎ์€ ๋น„ํŠธ ์—๋Ÿฌ์œจ์„ ๋‹ฌ์„ฑํ•˜์˜€๊ณ , ์ด 78.4 mW ์˜ ์ „๋ ฅ ์†Œ๋ชจ๋ฅผ ๊ธฐ๋กํ•˜์˜€๋‹ค. ์ข…ํ•ฉ์ ์ธ ์†ก์ˆ˜์‹ ๊ธฐ๋Š” ์„ฑ๊ณผ ๋Œ€ํ‘œ์ง€ํ‘œ๋กœ 0.41 pJ/b/dB ์™€ ํ•จ๊ป˜ ๋™์‹œ ์–‘๋ฐฉํ–ฅ ํ†ต์‹  ์•„๋ž˜์—์„œ 4๋ ˆ๋ฒจ ํŽ„์Šค ์ง„ํญ ๋ณ€์กฐ ์‹ ํ˜ธ ๋ฐ 2๋ ˆ๋ฒจ ํŽ„์Šค ์ง„ํญ ๋ณ€์กฐ ์‹ ํ˜ธ ๊ฐ๊ฐ์—์„œ ์•„์ด ๋งˆ์ง„ 0.15 UI ์™€ 0.57 UI ๋ฅผ ๋‹ฌ์„ฑํ•˜์˜€๋‹ค. ์ด ์ˆ˜์น˜๋Š” ์„ฑ๊ณผ ๋Œ€ํ‘œ์ง€ํ‘œ 0.5 ์ดํ•˜๋ฅผ ๊ฐ€์ง€๋Š” ๊ธฐ์กด ๋™์‹œ ์–‘๋ฐฉํ–ฅ ์†ก์ˆ˜์‹ ๊ธฐ์™€์˜ ๋น„๊ต์—์„œ ์ตœ๊ณ ์˜ ์•„์ด ๋งˆ์ง„์„ ๊ธฐ๋กํ•˜์˜€๋‹ค.In this dissertation, design techniques of a highly asymmetric simultaneous bidirectional (SB) transceivers with high-speed PAM-4 and low-speed PAM-2 signals are proposed and demonstrated for the next-generation automotive camera link. In a first prototype design, a PAM-4 transmitter with 10B6Q DC balance code and a PAM-4 adaptive receiver with fixed data and threshold levels (dtLevs) are presented. In PAM-4 transmitter, an area- and power-efficient 10B6Q code for an AC coupled link system that guarantees DC balance and limited run length of six is proposed. Although the input data width of 10 bits is used here, the proposed scheme has an extensibility for the input data width to cover various data types of the camera. On the other hand, in the PAM-4 adaptive receiver, to optimally cancel the sampler offset for a lower BER, instead of adjusting dtLevs, the gain of a programmable gain amplifier is adjusted adaptively under fixed dtLevs. The prototype chips including above proposed 10B6Q code and fixed dtLevs are fabricated in 40-nm CMOS technology and tested in chip-on-board assembly. The 10B6Q code only occupies an active area of 0.0009 mm2 with a synthesized gate count of 645. It also consumes 0.23 mW at the operating clock frequency of 667 MHz. The transmitter with 10B6Q code delivers 8-Gb/s PAM-4 signal to the adaptive receiver using fixed dtLevs through a lossy 12-m cable (22-dB channel loss) with a BER of 1E-8, and the eye margin larger than 0.15 UI x 50 mV is measured for a BER of 1E-5. The proto-type chips consume 65.2 mW (excluding PLL), exhibiting an FoM of 0.37 pJ/b/dB. In a second prototype design advanced from the first prototypes, An asymmetric SB transceivers incorporating a 12-Gb/s PAM-4 forward channel and a 125-Mb/s PAM-2 back channel are presented and demonstrated. The proposed wide linear range (WLR) hybrid combined with a gmC low-pass filter and an echo canceller effectively suppresses the outbound signals by more than 24dB. In addition, linear range enhancer which forms a gain attenuator with WLR hybrid breaks the trade-off between the linearity and the amplitude of the PAM-4 signal. The SB transceiver chips are separately fabricated in 40-nm CMOS technology. Using above design techniques, both PAM-4 and PAM-2 SB transceivers achieve BER less than 1E-12 over a 5-m channel (15.9 dB channel loss), consuming 78.4 mW. The overall transceivers achieve an FoM of 0.41 pJ/b/dB and eye margin (at BER of 1E-12) of 0.15 UI and 0.57 UI for the forward PAM-4 and back PAM-2 signals, respectively, under SB communication. This is the best eye margin compared to the prior art SB transceivers with an FoM less than 0.5.CHAPTER 1 INTRODUCTION 1 1.1 MOTIVATION 1 1.2 DISSERTATION ORGANIZATION 4 CHAPTER 2 BACKGROUND ON AUTOMOTIVE CAMERA LINK 6 2.1 OVERVIEW 6 2.2 SYSTEM REQUIREMENTS 10 2.2.1 CHANNEL 10 2.2.2 POWER OVER DIFFERENTIAL LINE (PODL) 12 2.2.3 AC COUPLING AND DC BALANCE CODE 15 2.2.4 SIMULTANEOUS BIDIRECTIONAL COMMUNICATION 18 2.2.4.1 HYBRID 18 2.2.4.2 ECHO CANCELLER 20 2.2.5 ADAPTIVE RECEIVE EQUALIZATION 22 CHAPTER 3 AREA AND POWER EFFICIENT 10B6Q ENCODER FOR DC BALANCE 25 3.1 INTRODUCTION 25 3.2 PRIOR WORKS 28 3.3 PROPOSED AREA- AND POWER-EFFICIENT 10B6Q PAM-4 CODER 30 3.4 DESIGN OF THE 10B6Q CODE 33 3.4.1 PAM-4 DC BALANCE 35 3.4.2 PAM-4 TRANSITION DENSITY 35 3.4.3 10B6Q DECODER 37 3.5 IMPLEMENTATION AND MEASUREMENT RESULTS 40 CHAPTER 4 PAM-4 TRANSMITTER AND ADAPTIVE RECEIVER WITH FIXED DATA AND THRESHOLD LEVELS 45 4.1 INTRODUCTION 45 4.2 PRIOR WORKS 47 4.3 ARCHITECTURE AND IMPLEMENTATION 49 4.2.1 PAM-4 TRANSMITTER 49 4.2.2 PAM-4 ADAPTIVE RECEIVER 52 4.3 MEASUREMENT RESULTS 62 CHAPTER 5 ASYMMETRIC SIMULTANEOUS BIDIRECTIONAL TRANSCEIVERS USING WIDE LINEAR RANGE HYBRID 68 5.1 INTRODUCTION 68 5.2 PRIOR WORKS 70 5.3 WIDE LINEAR RANGE (WLR) HYBRID 75 5.3 IMPLEMENTATION 78 5.3.1 SERIALIZER (SER) DESIGN 78 5.3.2 DESERIALIZER (DES) DESIGN 79 5.4 HALF CIRCUIT ANALYSIS OF WLR HYBRID AND LRE 82 5.5 MEASUREMENT RESULTS 88 CHAPTER 6 CONCLUSION 97 BIBLIOGRAPHY 99 ์ดˆ ๋ก 106๋ฐ•

    ๋ฉ”๋ชจ๋ฆฌ ์ธํ„ฐํŽ˜์ด์Šค๋ฅผ ์œ„ํ•œ ๋ฉ€ํ‹ฐ ๋ ˆ๋ฒจ ๋‹จ์ผ ์ข…๋‹จ ์†ก์‹ ๊ธฐ ์„ค๊ณ„

    Get PDF
    ํ•™์œ„๋…ผ๋ฌธ (๋ฐ•์‚ฌ) -- ์„œ์šธ๋Œ€ํ•™๊ต ๋Œ€ํ•™์› : ๊ณต๊ณผ๋Œ€ํ•™ ์ „๊ธฐยท์ปดํ“จํ„ฐ๊ณตํ•™๋ถ€, 2020. 8. ๊น€์ˆ˜ํ™˜.๋ณธ ์—ฐ๊ตฌ์—์„œ ๋ฉ”๋ชจ๋ฆฌ ์ธํ„ฐํŽ˜์ด์Šค๋ฅผ ์œ„ํ•œ ๋ฉ€ํ‹ฐ ๋ ˆ๋ฒจ ์†ก์‹ ๊ธฐ๊ฐ€ ์ œ์‹œ๋˜์—ˆ๋‹ค. ํ”„๋กœ์„ธ์„œ์™€ ๋ฉ”๋ชจ๋ฆฌ ๊ฐ„์˜ ์„ฑ๋Šฅ ์ฐจ์ด๊ฐ€ ๋งค๋…„ ๊ณ„์† ์ฆ๊ฐ€ํ•จ์— ๋”ฐ๋ผ, ๋ฉ”๋ชจ๋ฆฌ๋Š” ์ „์ฒด ์‹œ์Šคํ…œ์˜ ๋ณ‘๋ชฉ์ ์ด ๋˜๊ณ ์žˆ๋‹ค. ์šฐ๋ฆฌ๋Š” ๋ฉ”๋ชจ๋ฆฌ ๋Œ€์—ญํญ์„ ๋Š˜๋ฆฌ๊ธฐ ์œ„ํ•ด PAM-4 ๋‹จ์ผ ์ข…๋‹จ ์†ก์‹ ๊ธฐ๋ฅผ ์ œ์•ˆํ•˜์˜€๊ณ , ๋ฉ€ํ‹ฐ ๋žญํฌ ๋ฉ”๋ชจ๋ฆฌ๋ฅผ ์œ„ํ•œ duobinary ๋‹จ์ผ ์ข…๋‹จ ์†ก์‹ ๊ธฐ๋ฅผ ์ œ์•ˆํ•˜์˜€๋‹ค. ์ œ์•ˆ๋œ PAM-4 ์†ก์‹ ๊ธฐ์˜ ๋“œ๋ผ์ด๋ฒ„๋Š” ๋†’์€ ์„ ํ˜•์„ฑ๊ณผ ์ž„ํ”ผ๋˜์Šค ์ •ํ•ฉ์„ ๋™์‹œ์— ๋งŒ์กฑํ•œ๋‹ค. ๋˜ํ•œ ์ €ํ•ญ์ด๋‚˜ ์ธ๋•ํ„ฐ๋ฅผ ์‚ฌ์šฉํ•˜์ง€ ์•Š์•„ ์ž‘์€ ๋ฉด์ ์„ ์ฐจ์ง€ํ•œ๋‹ค. ์ œ์•ˆ๋œ ZQ ์บ˜๋ฆฌ๋ธŒ๋ ˆ์ด์…˜์€ ์„ธ๊ฐœ์˜ ๊ต์ • ์ ์„ ๊ฐ€์ง€๊ณ  ์žˆ์–ด ์†ก์‹ ๊ธฐ๊ฐ€ ์ •ํ™•ํ•œ ์ž„ํ”ผ๋˜์Šค์™€ ์„ ํ˜•์ ์ธ ์ถœ๋ ฅ์„ ๊ฐ–๊ฒŒ ํ•œ๋‹ค. ํ”„๋กœํ†  ํƒ€์ž…์€ 65nm CMOS ๊ณต์ •์œผ๋กœ ์ œ์ž‘๋˜์—ˆ๊ณ  ์†ก์‹ ๊ธฐ๋Š” 0.0333mm2์˜ ๋ฉด์ ์„ ์ฐจ์ง€ํ•œ๋‹ค. ์ธก์ •๋œ 28Gb/s์—์„œ์˜ eye๋Š” 18.3ps์˜ ๊ธธ์ด์™€ 42.4mV์˜ ๋†’์ด๋ฅผ ๊ฐ–๊ณ , ์—๋„ˆ์ง€ ํšจ์œจ์€ 0.64pJ/bit์ด๋‹ค. ZQ ์บ˜๋ฆฌ๋ธŒ๋ ˆ์ด์…˜๊ณผ ํ•จ๊ป˜ ์ธก์ •๋œ RLM์€ 0.993์ด๋‹ค. ๋ฉ”๋ชจ๋ฆฌ์˜ ์šฉ๋Ÿ‰์„ ๋Š˜๋ฆฌ๊ธฐ ์œ„ํ•ด ํ•˜๋‚˜์˜ ํŒจํ‚ค์ง€์— ์—ฌ๋Ÿฌ ๊ฐœ์˜ DRAM ๋‹ค์ด๋ฅผ ์ˆ˜์ง์œผ๋กœ ์Œ“๋Š” ํŒจํ‚ค์ง•์€ ๋ฉ”๋ชจ๋ฆฌ์˜ ์ค‘์•™ ํŒจ๋“œ ๊ตฌ์กฐ์™€ ๊ฒฐํ•ฉ๋˜์–ด ์งง์€ ๋ฐ˜์‚ฌ๋ฅผ ์•ผ๊ธฐํ•˜๋Š” ์Šคํ…์„ ๋งŒ๋“ ๋‹ค. ์šฐ๋ฆฌ๋Š” ์ด ๋ฌธ์ œ๋ฅผ ์™„ํ™”ํ•˜๊ธฐ์œ„ํ•ด ๋ฐ˜์‚ฌ ๊ธฐ๋ฐ˜ duobinary ์†ก์‹ ๊ธฐ๋ฅผ ์ œ์•ˆํ–ˆ๋‹ค. ์ด ์†ก์‹ ๊ธฐ๋Š” ๋ฐ˜์‚ฌ๋ฅผ ์ด์šฉํ•˜์—ฌ duobinary signaling์„ ํ•œ๋‹ค. 2ํƒญ ๋ฐ˜๋Œ€ ๊ฐ•์กฐ ๊ธฐ์ˆ ๊ณผ ์Šฌ๋ฃจ ๋ ˆ์ดํŠธ ์กฐ์ ˆ ๊ธฐ์ˆ ์ด ์‹ ํ˜ธ ์™„๊ฒฐ์„ฑ์„ ๋†’์ด๊ธฐ ์œ„ํ•ด ์‚ฌ์šฉ๋˜์—ˆ๋‹ค. NRZ eye๊ฐ€ ์—†๋Š” 10Gb/s์—์„œ ์ธก์ •๋œ duobinary eye๋Š” 63.6ps ๊ธธ์ด์™€ 70.8mV์˜ ๋†’์ด๋ฅผ ๊ฐ–๋Š”๋‹ค. ์ธก์ •๋œ ์—๋„ˆ์ง€ ํšจ์œจ์€ 1.38pJ/bit์ด๋‹ค.Multi-level transmitters for memory interfaces have been presented. The performance gap between processor and memory has been increased by 50% every year, making memory to be a bottle neck of the overall system. To increase memory bandwidth, we have proposed a PAM-4 single-ended transmitter. To compensate for the side effect of the multi-rank memory, we have proposed a reflection-based duobinary transmitter. The proposed PAM-4 transmitter has the driver, which simultaneously satisfies impedance matching and high linearity. The driver occupies a small area due to a resistorless and inductorless structure. The proposed ZQ calibration for PAM-4 has three calibration points, which allow the transmitter to have accurate impedance and linear output. The ZQ calibration considers impedance variation of both the driver and the receiver. A prototype has been fabricated in 65nm CMOS process, and the transmitter occupies 0.0333mm2. The measured eye has a width of 18.3ps and a height of 42.4mV at 28Gb/s, and the measured energy efficiency is 0.64pJ/b. The measured RLM with the 3-point ZQ calibration is 0.993. To increase memory density, the stacked die packaging with multiple DRAM die stacked vertically in one package is widely used. However, combined with the center-pad structure, the structure creates stubs that cause short reflections. We have proposed the reflection-based duobinary transmitter to mitigate this problem. The proposed transmitter uses reflection for duobinary signaling. The 2-tap opposite FFE and the slew-rate control are used to increase signal integrity. The measured duobinary eye at 10Gb/s has a width of 63.6ps and a height of 70.8mV while there is no NRZ eye opening. The measured energy efficiency is 1.38pJ/bit.CHAPTER 1 INTRODUCTION 1 1.1 MOTIVATION 1 1.2 THESIS ORGANIZATION 8 CHAPTER 2 MUTI-LEVEL SIGNALING 9 2.1 PAM-4 SIGNALING 9 2.2 DESIGN CONSIDERATIONS FOR PAM-4 TRANSMITTER 16 2.2.1 LEVEL SEPARATION MISMATCH RATIO (RLM) 17 2.2.2 IMPEDANCE MATCHING 19 2.2.3 PRIOR ARTS 21 2.3 DUOBINARY SIGNALING 24 CHAPTER 3 HIGH-LINEARITY AND IMPEDANCE-MATCHED PAM-4 TRANSMITTER 30 3.1 OVERALL ARCHITECTURE 31 3.2 SINGLE-ENDED IMPEDANCE-MATCHED PAM-4 DRIVER 33 3.3 3-POINT ZQ CALIBRATION FOR PAM-4 47 CHAPTER 4 REFLECTION-BASED DUOBINARY TRANSMITTER 57 4.1 BIDIRECTIONAL DUAL-RANK MEMORY SYSTEM 58 4.2 CONCEPT OF REFLECTION-BASED DUOBINARY SIGNALING 66 4.3 REFLECTION-BASED DUOBINARY TRANSMITTER 70 4.3.1 OVERALL ARCHITECTURE 70 4.3.2 EQUALIZATION FOR REFLECTION-BASED DUOBINARY SIGNALING 72 4.3.3 2D BINARY-SEGMENTED DRIVER 75 CHAPTER 5 EXPERIMENTAL RESULTS 77 5.1 HIGH-LINEARITY AND IMPEDANCE-MATCHED PAM-4 TRANSMITTER 77 5.2 REFLECTION-BASED DUOBINARY TRANSMITTER 84 CHAPTER 6 92 CONCLUSION 92 BIBLIOGRAPHY 94Docto

    ๋Œ€์—ญํญ ์ฆ๋Œ€ ๊ธฐ์ˆ ์„ ์ด์šฉํ•œ ์ „๋ ฅ ํšจ์œจ์  ๊ณ ์† ์†ก์‹  ์‹œ์Šคํ…œ ์„ค๊ณ„

    Get PDF
    ํ•™์œ„๋…ผ๋ฌธ(๋ฐ•์‚ฌ) -- ์„œ์šธ๋Œ€ํ•™๊ต๋Œ€ํ•™์› : ๊ณต๊ณผ๋Œ€ํ•™ ์ „๊ธฐยท์ •๋ณด๊ณตํ•™๋ถ€, 2022.2. ์ •๋•๊ท .The high-speed interconnect at the datacenter is being more crucial as 400 Gb Ethernet standards are developed. At the high data rate, channel loss re-quires bandwidth extension techniques for transmitters, even for short-reach channels. On the other hand, as the importance of east-to-west connection is rising, the data center architectures are switching to spine-leaf from traditional ones. In this trend, the number of short-reach optical interconnect is expected to be dominant. The vertical-cavity surface-emitting laser (VCSEL) is a com-monly used optical modulator for short-reach interconnect. However, since VCSEL has low bandwidth and nonlinearity, the optical transmitter also needs bandwidth-increasing techniques. Additionally, the power consumption of data centers reaches a point of concern to affect climate change. Therefore, this the-sis focuses on high-speed, power-efficient transmitters for data center applica-tions. Before the presenting circuit design, bandwidth extension techniques such as fractionally-spaced feed-forward equalizer (FFE), on-chip transmission line, inductive peaking, and T-coil are mathematically analyzed for their effec-tiveness. For the first chip, a power and area-efficient pulse-amplitude modulation 4 (PAM-4) transmitter using 3-tap FFE based on a slow-wave transmission line is presented. A passive delay line is adopted for generating an equalizer tap to overcome the high clocking power consumption. The transmission line achieves a high slow-wave factor of 15 with double floating metal shields around the differential coplanar waveguide. The transmitter includes 4:1 multi-plexers (MUXs) and a quadrature clock generator for high-speed data genera-tion in a quarter-rate system. The 4:1 MUX utilizes a 2-UI pulse generator, and the input configuration is determined by qualitative analysis. The chip is fabri-cated in 65 nm CMOS technology and occupies an area of 0.151 mm2. The proposed transmitter system exhibits an energy efficiency of 3.03 pJ/b at the data rate of 48 Gb/s with PAM-4 signaling. The second chip presents a power-efficient PAM-4 VCSEL transmitter using 3-tap FFE and negative-k T-coil. The phase interpolators (PIs) generate frac-tionally-spaced FFE tap and correct quadrature phase error. The PAM-4 com-bining 8:1 MUX is proposed rather than combining at output driver with double 4:1 MUXs to reduce serializing power consumption. T-coils at the internal and output node increase the bandwidth and remove inter-symbol interference (ISI). The negative-k T-coil at the output network increases the bandwidth 1.61 times than without T-coil. The VCSEL driver is placed on the high VSS domain for anode driving and power reduction. The chip is fabricated in 40 nm CMOS technology. The proposed VCSEL transmitter operates up to 48 Gb/s NRZ and 64 Gb/s PAM-4 with the power efficiency of 3.03 pJ/b and 2.09 pJ/b, respec-tively.400Gb ์ด๋”๋„ท ํ‘œ์ค€์ด ๊ฐœ๋ฐœ๋จ์— ๋”ฐ๋ผ ๋ฐ์ดํ„ฐ ์„ผํ„ฐ์˜ ๊ณ ์† ์ƒํ˜ธ ์—ฐ๊ฒฐ์ด ๋”์šฑ ์ค‘์š”ํ•ด์ง€๊ณ  ์žˆ๋‹ค. ๋†’์€ ๋ฐ์ดํ„ฐ ์†๋„์—์„œ์˜ ์ฑ„๋„ ์†์‹ค์— ์˜ํ•ด ๋‹จ๊ฑฐ๋ฆฌ ์ฑ„๋„์˜ ๊ฒฝ์šฐ์—๋„ ์†ก์‹ ๊ธฐ์— ๋Œ€ํ•œ ๋Œ€์—ญํญ ํ™•์žฅ ๊ธฐ์ˆ ์ด ํ•„์š”ํ•˜๋‹ค. ํ•œํŽธ, ๋ฐ์ดํ„ฐ ์„ผํ„ฐ ๋‚ด ๋™-์„œ ์—ฐ๊ฒฐ์˜ ์ค‘์š”์„ฑ์ด ๋†’์•„์ง€๋ฉด์„œ ๋ฐ์ดํ„ฐ ์„ผํ„ฐ ์•„ํ‚คํ…์ฒ˜๊ฐ€ ๊ธฐ์กด์˜ ์•„ํ‚คํ…์ฒ˜์—์„œ ์ŠคํŒŒ์ธ-๋ฆฌํ”„๋กœ ์ „ํ™˜๋˜๊ณ  ์žˆ๋‹ค. ์ด๋Ÿฌํ•œ ์ถ”์„ธ์—์„œ ๋‹จ๊ฑฐ๋ฆฌ ๊ด‘ํ•™ ์ธํ„ฐ์ปค๋„ฅํŠธ์˜ ์ˆ˜๊ฐ€ ์ ์ฐจ ์šฐ์„ธํ•ด์งˆ ๊ฒƒ์œผ๋กœ ์˜ˆ์ƒ๋œ๋‹ค. ์ˆ˜์ง ์บ๋น„ํ‹ฐ ํ‘œ๋ฉด ๋ฐฉ์ถœ ๋ ˆ์ด์ €(VCSEL)๋Š” ์ผ๋ฐ˜์ ์œผ๋กœ ๋‹จ๊ฑฐ๋ฆฌ ์ƒํ˜ธ ์—ฐ๊ฒฐ์„ ์œ„ํ•ด ์‚ฌ์šฉ๋˜๋Š” ๊ด‘ํ•™ ๋ชจ๋“ˆ๋ ˆ์ดํ„ฐ์ด๋‹ค. VCSEL์€ ๋‚ฎ์€ ๋Œ€์—ญํญ๊ณผ ๋น„์„ ํ˜•์„ฑ์„ ๊ฐ€์ง€๊ณ  ์žˆ๊ธฐ ๋•Œ๋ฌธ์—, ๊ด‘ ์†ก์‹ ๊ธฐ๋„ ๋Œ€์—ญํญ ์ฆ๊ฐ€ ๊ธฐ์ˆ ์„ ํ•„์š”๋กœ ํ•œ๋‹ค. ๋˜ํ•œ, ๋ฐ์ดํ„ฐ ์„ผํ„ฐ์˜ ์ „๋ ฅ ์†Œ๋น„๋Š” ๊ธฐํ›„ ๋ณ€ํ™”์— ์˜ํ–ฅ์„ ๋ฏธ์น  ์ˆ˜ ์žˆ๋Š” ์šฐ๋ ค ์ง€์ ์— ๋„๋‹ฌํ–ˆ๋‹ค. ๋”ฐ๋ผ์„œ, ๋ณธ ๋…ผ๋ฌธ์€ ๋ฐ์ดํ„ฐ ์„ผํ„ฐ ์‘์šฉ์„ ์œ„ํ•œ ๊ณ ์† ์ „๋ ฅ ํšจ์œจ์ ์ธ ์†ก์‹ ๊ธฐ์— ์ดˆ์ ์„ ๋งž์ถ”๊ณ  ์žˆ๋‹ค. ํšŒ๋กœ ์„ค๊ณ„๋ฅผ ์ œ์‹œํ•˜๊ธฐ ์ „์—, ๋ถ€๋ถ„ ๊ฐ„๊ฒฉ ํ”ผ๋“œ-ํฌ์›Œ๋“œ ์ดํ€„๋ผ์ด์ € (FFE), ์˜จ์นฉ ์ „์†ก์„ ๋กœ, ์ธ๋•ํ„ฐ, T-์ฝ”์ผ๊ณผ ๊ฐ™์€ ๋Œ€์—ญํญ ํ™•์žฅ ๊ธฐ์ˆ ์„ ์ˆ˜ํ•™์ ์œผ๋กœ ๋ถ„์„ํ•œ๋‹ค. ์ฒซ ๋ฒˆ์งธ ์นฉ์€ ์ €์†ํŒŒ ์ „์†ก์„ ๋กœ๋ฅผ ๊ธฐ๋ฐ˜์œผ๋กœ ํ•œ 3-ํƒญ FFE๋ฅผ ์‚ฌ์šฉํ•˜๋Š” ์ „๋ ฅ ๋ฐ ๋ฉด์  ํšจ์œจ์ ์ธ ํŽ„์Šค-์ง„ํญ-๋ณ€์กฐ 4(PAM-4) ์†ก์‹ ๊ธฐ๋ฅผ ์ œ์‹œํ•œ๋‹ค. ๋†’์€ ํด๋Ÿญ ์ „๋ ฅ ์†Œ๋น„๋ฅผ ๊ทน๋ณตํ•˜๊ธฐ ์œ„ํ•ด ์ดํ€„๋ผ์ด์ € ํƒญ ์ƒ์„ฑ์„ ์œ„ํ•ด ์ˆ˜๋™์†Œ์ž ์ง€์—ฐ ๋ผ์ธ์„ ์ฑ„ํƒํ–ˆ๋‹ค. ์ „์†ก ๋ผ์ธ์€ ์ฐจ๋™ ๋™์ผํ‰๋ฉด๋„ํŒŒ๊ด€ ์ฃผ์œ„์— ์ด์ค‘ ํ”Œ๋กœํŒ… ๊ธˆ์† ์ฐจํ๋ฅผ ์‚ฌ์šฉํ•˜์—ฌ 15์˜ ๋†’์€ ์ „๋‹ฌ์†๋„ ๊ฐ์‡ ๋ฅผ ๋‹ฌ์„ฑํ•œ๋‹ค. ์†ก์‹ ๊ธฐ์—๋Š” 4:1 ๋ฉ€ํ‹ฐํ”Œ๋ ‰์„œ(MUX)์™€ 4-์œ„์ƒ ํด๋Ÿญ ์ƒ์„ฑ๊ธฐ๊ฐ€ ํฌํ•จ๋˜์–ด ์žˆ๋‹ค. 4:1 MUX๋Š” 2-UI ํŽ„์Šค ๋ฐœ์ƒ๊ธฐ๋ฅผ ์‚ฌ์šฉํ•˜๋ฉฐ, ์ •์„ฑ ๋ถ„์„์— ์˜ํ•ด ์ž…๋ ฅ ๊ตฌ์„ฑ์ด ๊ฒฐ์ •๋œ๋‹ค. ์ด ์นฉ์€ 65 nm CMOS ๊ธฐ์ˆ ๋กœ ์ œ์ž‘๋˜์—ˆ์œผ๋ฉฐ 0.151 mm2์˜ ๋ฉด์ ์„ ์ฐจ์ง€ํ•œ๋‹ค. ์ œ์•ˆ๋œ ์†ก์‹ ๊ธฐ ์‹œ์Šคํ…œ์€ PAM-4 ์‹ ํ˜ธ์™€ ํ•จ๊ป˜ 48 Gb/s์˜ ๋ฐ์ดํ„ฐ ์†๋„์—์„œ 3.03 pJ/b์˜ ์—๋„ˆ์ง€ ํšจ์œจ์„ ๋ณด์—ฌ์ค€๋‹ค. ๋‘ ๋ฒˆ์งธ ์นฉ์—์„œ๋Š” 3-ํƒญ FFE ๋ฐ ์—ญํšŒ์ „ T-์ฝ”์ผ์„ ์‚ฌ์šฉํ•˜๋Š” ์ „๋ ฅ ํšจ์œจ์ ์ธ PAM-4 VCSEL ์†ก์‹ ๊ธฐ๋ฅผ ์ œ์‹œํ•œ๋‹ค. ์œ„์ƒ ๋ณด๊ฐ„๊ธฐ(PI)๋Š” ๋ถ€๋ถ„ ๊ฐ„๊ฒฉ FFE ํƒญ์„ ์ƒ์„ฑํ•˜๊ณ  4-์œ„์ƒ ํด๋Ÿญ ์˜ค๋ฅ˜๋ฅผ ์ˆ˜์ •ํ•˜๋Š” ๋ฐ ์‚ฌ์šฉ๋œ๋‹ค. ์ง๋ ฌํ™” ์ „๋ ฅ ์†Œ๋น„๋ฅผ ์ค„์ด๊ธฐ ์œ„ํ•ด ์ถœ๋ ฅ ๋“œ๋ผ์ด๋ฒ„์—์„œ MSB์™€ LSB๋ฅผ ๋‘ ๊ฐœ์˜ 4:1 MUX๋ฅผ ํ†ตํ•ด ๊ฒฐํ•ฉํ•˜๋Š” ๋Œ€์‹  8:1 MUX๋ฅผ ํ†ตํ•ด PAM-4๋กœ ๊ฒฐํ•ฉํ•˜๋Š” ํšŒ๋กœ๊ฐ€ ์ œ์•ˆ๋œ๋‹ค. ๋‚ด๋ถ€ ๋ฐ ์ถœ๋ ฅ ๋…ธ๋“œ์—์„œ T-์ฝ”์ผ์€ ๋Œ€์—ญํญ์„ ์ฆ๊ฐ€์‹œํ‚ค๊ณ  ๊ธฐํ˜ธ ๊ฐ„ ๊ฐ„์„ญ(ISI)์„ ์ œ๊ฑฐํ•œ๋‹ค. ์ถœ๋ ฅ ๋„คํŠธ์›Œํฌ์—์„œ ์—ญํšŒ์ „ T-์ฝ”์ผ์€ T-์ฝ”์ผ์ด ์—†๋Š” ๊ฒฝ์šฐ๋ณด๋‹ค ๋Œ€์—ญํญ์„ 1.61๋ฐฐ ์ฆ๊ฐ€์‹œํ‚จ๋‹ค. VCSEL ๋“œ๋ผ์ด๋ฒ„๋Š” ์–‘๊ทน ๊ตฌ๋™ ๋ฐ ์ „๋ ฅ ๊ฐ์†Œ๋ฅผ ์œ„ํ•ด ๋†’์€ VSS ๋„๋ฉ”์ธ์— ๋ฐฐ์น˜๋œ๋‹ค. ์ด ์นฉ์€ 40 nm CMOS ๊ธฐ์ˆ ๋กœ ์ œ์ž‘๋˜์—ˆ๋‹ค. ์ œ์•ˆ๋œ VCSEL ์†ก์‹ ๊ธฐ๋Š” ๊ฐ๊ฐ 3.03pJ/b์™€ 2.09pJ/b์˜ ์ „๋ ฅ ํšจ์œจ๋กœ ์ตœ๋Œ€ 48Gb/s NRZ์™€ 64Gb/s PAM-4๊นŒ์ง€ ์ž‘๋™ํ•œ๋‹ค.ABSTRACT I CONTENTS III LIST OF FIGURES V LIST OF TABLES IX CHAPTER 1 INTRODUCTION 1 1.1 MOTIVATION 1 1.2 THESIS ORGANIZATION 5 CHAPTER 2 BACKGROUND OF HIGH-SPEED INTERFACE 6 2.1 OVERVIEW 6 2.2 BASIS OF DATA CENTER ARCHITECTURE 9 2.3 SHORT-REACH INTERFACE STANDARDS 12 2.4 ANALYSES OF BANDWIDTH EXTENSION TECHNIQUES 16 2.4.1 FRACTIONALLY-SPACED FFE 16 2.4.2 TRANSMISSION LINE 21 2.4.3 INDUCTOR 24 2.4.4 T-COIL 33 CHAPTER 3 DESIGN OF 48 GB/S PAM-4 ELECTRICAL TRANSMITTER IN 65 NM CMOS 43 3.1 OVERVIEW 43 3.2 FFE BASED ON DOUBLE-SHIELDED COPLANAR WAVEGUIDE 46 3.2.1 BASIC CONCEPT 46 3.2.2 PROPOSED DOUBLE-SHIELDED COPLANAR WAVEGUIDE 47 3.3 DESIGN CONSIDERATION ON 4:1 MUX 50 3.4 PROPOSED PAM-4 ELECTRICAL TRANSMITTER 53 3.5 MEASUREMENT 57 CHAPTER 4 DESIGN OF 64 GB/S PAM-4 OPTICAL TRANSMITTER IN 40 NM CMOS 64 4.1 OVERVIEW 64 4.2 DESIGN CONSIDERATION OF OPTICAL TRANSMITTER 66 4.3 PROPOSED PAM-4 VCSEL TRANSMITTER 69 4.4 MEASUREMENT 82 CHAPTER 5 CONCLUSIONS 88 BIBLIOGRAPHY 90 ์ดˆ ๋ก 101๋ฐ•
    • โ€ฆ
    corecore