357 research outputs found

    Roadmap on semiconductor-cell biointerfaces.

    Get PDF
    This roadmap outlines the role semiconductor-based materials play in understanding the complex biophysical dynamics at multiple length scales, as well as the design and implementation of next-generation electronic, optoelectronic, and mechanical devices for biointerfaces. The roadmap emphasizes the advantages of semiconductor building blocks in interfacing, monitoring, and manipulating the activity of biological components, and discusses the possibility of using active semiconductor-cell interfaces for discovering new signaling processes in the biological world

    Characterization and Emulation of Low-Voltage Power Line Channels for Narrowband and Broadband Communication

    Get PDF
    The demand for smart grid and smart home applications has raised the recent interest in power line communication (PLC) technologies, and has driven a broad set of deep surveys in low-voltage (LV) power line channels. This book proposes a set of novel approaches, to characterize and to emulate LV power line channels in the frequency range from0.15to 10 MHz, which closes gaps between the traditional narrowband (up to 500 kHz) and broadband (above1.8 MHz) ranges

    Characterization and Emulation of Low-Voltage Power Line Channels for Narrowband and Broadband Communication

    Get PDF
    The demand for smart grid and smart home applications has raised the recent interest in power line communication (PLC) technologies, and has driven a broad set of deep surveys in low-voltage (LV) power line channels. This book proposes a set of novel approaches, to characterize and to emulate LV power line channels in the frequency range from0.15to 10 MHz, which closes gaps between the traditional narrowband (up to 500 kHz) and broadband (above1.8 MHz) ranges

    Integrating specification and test requirements as constraints in verification strategies for 2D and 3D analog and mixed signal designs

    Get PDF
    Analog and Mixed Signal (AMS) designs are essential components of today’s modern Integrated Circuits (ICs) used in the interface between real world signals and the digital world. They present, however, significant verification challenges. Out-of-specification failures in these systems have steadily increased, and have reached record highs in recent years. Increasing design complexity, incomplete/wrong specifications (responsible for 47% of all non functional ICs) as well as additional challenges faced when testing these systems are obvious reasons. A particular example is the escalating impact of realistic test conditions with respect to physical (interface between the device under test (DUT) and the test instruments, input-signal conditions, input impedance, etc.), functional (noise, jitter) and environmental (temperature) constraints. Unfortunately, the impact of such constraints could result in a significant loss of performance and design failure even if the design itself was flawless. Current industrial verification methodologies, each addressing specific verification challenges, have been shown to be useful for detecting and eliminating design failures. Nevertheless, decreases in first pass silicon success rates illustrate the lack of cohesive, efficient techniques to allow a predictable verification process that leads to the highest possible confidence in the correctness of AMS designs. In this PhD thesis, we propose a constraint-driven verification methodology for monitoring specifications of AMS designs. The methodology is based on the early insertion of test(s) associated with each design specification. It exploits specific constraints introduced by these planned tests as well as by the specifications themselves, as they are extracted and used during the verification process, thus reducing the risk of costly errors caused by incomplete, ambiguous or missing details in the specification documents. To fully analyze the impact of these constraints on the overall AMS design behavior, we developed a two-phase algorithm that automatically integrates them into the AMS design behavioral model and performs the specifications monitoring in a Matlab simulation environment. The effectiveness of this methodology is demonstrated for two-dimensional (2D) and three-dimensional (3D) ICs. Our results show that our approach can predict out-of-specification failures, corner cases that were not covered using previous verification methodologies. On one hand, we show that specifications satisfied without specification and test-related constraints have failed in the presence of these additional constraints. On the other hand, we show that some specifications may degrade or even cannot be verified without adding specific specification and test-related constraints
    corecore