17 research outputs found

    High-speed equalization and transmission in electrical interconnections

    Get PDF
    The relentless growth of data traffic and increasing digital signal processing capabilities of integrated circuits (IC) are demanding ever faster chip-to-chip / chip-to-module serial electrical interconnects. As data rates increase, the signal quality after transmission over printed circuit board (PCB) interconnections is severely impaired. Frequency-dependent loss and crosstalk noise lead to a reduced eye opening, a reduced signal-to-noise ratio and an increased inter-symbol interference (ISI). This, in turn, requires the use of improved signal processing or PCB materials, in order to overcome the bandwidth (BW) limitations and to improve signal integrity. By applying an optimal combination of equalizer and receiver electronics together with BW-efficient modulation schemes, the transmission rate over serial electrical interconnections can be pushed further. At the start of this research, most industrial backplane connectors, meeting the IEEE and OIF specifications such as manufactured by e.g. FCI or TE connectivity, had operational capabilities of up to 25 Gb/s. This research was mainly performed under the IWT ShortTrack project. The goal of this research was to increase the transmission speed over electrical backplanes up to 100 Gb/s per channel for next-generation telecom systems and data centers. This requirement greatly surpassed the state-ofthe-art reported in previous publications, considering e.g. 25 Gb/s duobinary and 42.8 Gb/s PAM-4 transmission over a low-loss Megtron 6 electrical backplane using off-line processing. The successful implementation of the integrated transmitter (TX) and receiver (RX) (1) , clearly shows the feasibility of single lane interconnections beyond 80 Gb/s and opens the potential of realizing industrial 100 Gb/s links using a recent IC technology process. Besides the advancement of the state-of-the-art in the field of high-speed transceivers and backplane transmission systems, which led to several academic publications, the output of this work also attracts a lot of attention from the industry, showing the potential to commercialize the developed chipset and technologies used in this research for various applications: not only in high-speed electrical transmission links, but also in high-speed opto-electronic communications such as access, active optical cables and optical backplanes. In this dissertation, the background of this research, an overview of this work and the thesis organization are illustrated in Chapter 1. In Chapter 2, a system level analysis is presented, showing that the channel losses are limiting the transmission speed over backplanes. In order to enhance the serial data rate over backplanes and to eliminate the signal degradation, several technologies are discussed, such as signal equalization and modulation techniques. First, a prototype backplane channel, from project partner FCI, implemented with improved backplane connectors is characterized. Second, an integrated transversal filter as a feed-forward equalizer (FFE) is selected to perform the signal equalization, based on a comprehensive consideration of the backplane channel performance, equalization capabilities, implementation complexity and overall power consumption. NRZ, duobinary and PAM-4 are the three most common modulation schemes for ultra-high speed electrical backplane communication. After a system-level simulation and comparison, the duobinary format is selected due to its high BW efficiency and reasonable circuit complexity. Last, different IC technology processes are compared and the ST microelectronics BiCMOS9MW process (featuring a fT value of over 200 GHz) is selected, based on a trade-off between speed and chip cost. Meanwhile it also has a benefit for providing an integrated microstrip model, which is utilized for the delay elements of the FFE. Chapter 3 illustrates the chip design of the high-speed backplane TX, consisting of a multiplexer (MUX) and a 5-tap FFE. The 4:1 MUX combines four lower rate streams into a high-speed differential NRZ signal up to 100 Gb/s as the FFE input. The 5-tap FFE is implemented with a novel topology for improved testability, such that the FFE performance can be individually characterized, in both frequency- and time-domain, which also helps to perform the coefficient optimization of the FFE. Different configurations for the gain cell in the FFE are compared. The gilbert configuration shows most advantages, in both a good high-frequency performance and an easy way to implement positive / negative amplification. The total chip, including the MUX and the FFE, consumes 750mW from a 2.5V supply and occupies an area of 4.4mm ร— 1.4 mm. In Chapter 4, the TX chip is demonstrated up to 84 Gb/s. First, the FFE performance is characterized in the frequency domain, showing that the FFE is able to work up to 84 Gb/s using duobinary formats. Second, the combination of the MUX and the FFE is tested. The equalized TX outputs are captured after different channels, for both NRZ and duobinary signaling at speeds from 64 Gb/s to 84 Gb/s. Then, by applying the duobinary RX 2, a serial electrical transmission link is demonstrated across a pair of 10 cm coax cables and across a 5 cm FX-2 differential stripline. The 5-tap FFE compensates a total loss between the TX and the RX chips of about 13.5 dB at the Nyquist frequency, while the RX receives the equalized signal and decodes the duobinary signal to 4 quarter rate NRZ streams. This shows a chip-to-chip data link with a bit error rate (BER) lower than 10โˆ’11. Last, the electrical data transmission between the TX and the RX over two commercial backplanes is demonstrated. An error-free, serial duobinary transmission across a commercial Megtron 6, 11.5 inch backplane is demonstrated at 48 Gb/s, which indicates that duobinary outperforms NRZ for attaining higher speed or longer reach backplane applications. Later on, using an ExaMAXยฎ backplane demonstrator, duobinary transmission performance is verified and the maximum allowed channel loss at 40 Gb/s transmission is explored. The eye diagram and BER measurements over a backplane channel up to 26.25 inch are performed. The results show that at 40 Gb/s, a total channel loss up to 37 dB at the Nyquist frequency allows for error-free duobinary transmission, while a total channel loss of 42 dB was overcome with a BER below 10โˆ’8. An overview of the conclusions is summarized in Chapter 5, along with some suggestions for further research in this field. (1) The duobinary receiver was developed by my colleague Timothy De Keulenaer, as described in his PhD dissertation. (2) Described in the PhD dissertation of Timothy De Keulenaer

    Design of Low-Power NRZ/PAM-4 Wireline Transmitters

    Get PDF
    Rapid growing demand for instant multimedia access in a myriad of digital devices has pushed the need for higher bandwidth in modern communication hardwares ranging from short-reach (SR) memory/storage interfaces to long-reach (LR) data center Ethernets. At the same time, comprehensive design optimization of link system that meets the energy-efficiency is required for mobile computing and low operational cost at datacenters. This doctoral study consists of design of two low-swing wireline transmitters featuring a low-power clock distribution and 2-tap equalization in energy-efficient manners up to 20-Gb/s operation. In spite of the reduced signaling power in the voltage-mode (VM) transmit driver, the presence of the segment selection logic still diminishes the power saving benefit. The first work presents a scalable VM transmitter which offers low static power dissipation and adopts an impedance-modulated 2-tap equalizer with analog tap control, thereby obviating driver segmentation and reducing pre-driver complexity and dynamic power. Per-channel quadrature clock generation with injection-locked oscillators (ILO) allows the generation of rail-to-rail quadrature clocks. Energy efficiency is further improved with capacitively driven low-swing global clock distribution and supply scaling at lower data rates, while output eye quality is maintained at low voltages with automatic phase calibration of the local ILO-generated quarter-rate clocks. A prototype fabricated in a general purpose 65 nm CMOS process includes a 2 mm global clock distribution network and two transmitters that support an output swing range of 100-300mV with up to 12-dB of equalization. The transmitters achieve 8-16 Gb/s operation at 0.65-1.05 pJ/b energy efficiency. The second work involves a dual-mode NRZ/PAM-4 differential low-swing voltage-mode (VM) transmitter. The pulse-selected output multiplexing allows reduction of power supply and deterministic jitter caused by large on-chip parasitic inherent in the transmission-gate-based multiplexers in the earlier work. Analog impedance control replica circuits running in the background produce gate-biasing voltages that control the peaking ratio for 2-tap feed-forward equalization and PAM-4 symbol levels for high-linearity. This analog control also allows for efficient generation of the middle levels in PAM-4 operation with good linearity quantified by level separation mismatch ratio of 95%. In NRZ mode, 2-tap feedforward equalization is configurable in high-performance controlled-impedance or energy-efficient impedance-modulated settings to provide performance scalability. Analytic design consideration on dynamic power, data-rate, mismatch, and output swing brings optimal performance metric on the given technology node. The proof-of-concept prototype is verified on silicon with 65 nm CMOS process with improved performance in speed and energy-efficiency owing to double-stack NMOS transistors in the output stage. The transmitter consumes as low as 29.6mW in 20-Gb/s NRZ and 25.5mW in the 28-Gb/s PAM-4 operations

    Design Techniques for High Performance Serial Link Transceivers

    Get PDF
    Increasing data rates over electrical channels with significant frequency-dependent loss is difficult due to excessive inter-symbol interference (ISI). In order to achieve sufficient link margins at high rates, I/O system designers implement equalization in the transmitters and are motivated to consider more spectrally-efficient modulation formats relative to the common PAM-2 scheme, such as PAM-4 and duobinary. The first work, reviews when to consider PAM-4 and duobinary formats, as the modulation scheme which yields the highest system margins at a given data rate is a function of the channel loss profile, and presents a 20Gb/s triple-mode transmitter capable of efficiently implementing these three modulation schemes and three-tap feedforward equalization. A statistical link modeling tool, which models ISI, crosstalk, random noise, and timing jitter, is developed to compare the three common modulation formats operating on electrical backplane channel models. In order to improve duobinary modulation efficiency, a low-power quarter-rate duobinary precoder circuit is proposed which provides significant timing margin improvement relative to full-rate precoders. Also as serial I/O data rates scale above 10 Gb/s, crosstalk between neighboring channels degrades system bit-error rate (BER) performance. The next work presents receive-side circuitry which merges the cancellation of both near-end and far-end crosstalk (NEXT/FEXT) and can automatically adapt to different channel environments and variations in process, voltage, and temperature. NEXT cancellation is realized with a novel 3-tap FIR filter which combines two traditional FIR filter taps and a continuous-time band-pass filter IIR tap for efficient crosstalk cancellation, with all filter tap coefficients automatically determined via an ondie sign-sign least-mean-square (SS-LMS) adaptation engine. FEXT cancellation is realized by coupling the aggressor signal through a differentiator circuit whose gain is automatically adjusted with a power-detection-based adaptation loop. In conclusion, the proposed architectures in the transmitter side and receiver side together are to be good solution in the high speed I/O serial links to improve the performance by overcome the physical channel loss and adjacent channel noise as the system becomes complicated

    Design of Energy-Efficient A/D Converters with Partial Embedded Equalization for High-Speed Wireline Receiver Applications

    Get PDF
    As the data rates of wireline communication links increases, channel impairments such as skin effect, dielectric loss, fiber dispersion, reflections and cross-talk become more pronounced. This warrants more interest in analog-to-digital converter (ADC)-based serial link receivers, as they allow for more complex and flexible back-end digital signal processing (DSP) relative to binary or mixed-signal receivers. Utilizing this back-end DSP allows for complex digital equalization and more bandwidth-efficient modulation schemes, while also displaying reduced process/voltage/temperature (PVT) sensitivity. Furthermore, these architectures offer straightforward design translation and can directly leverage the area and power scaling offered by new CMOS technology nodes. However, the power consumption of the ADC front-end and subsequent digital signal processing is a major issue. Embedding partial equalization inside the front-end ADC can potentially result in lowering the complexity of back-end DSP and/or decreasing the ADC resolution requirement, which results in a more energy-effcient receiver. This dissertation presents efficient implementations for multi-GS/s time-interleaved ADCs with partial embedded equalization. First prototype details a 6b 1.6GS/s ADC with a novel embedded redundant-cycle 1-tap DFE structure in 90nm CMOS. The other two prototypes explain more complex 6b 10GS/s ADCs with efficiently embedded feed-forward equalization (FFE) and decision feedback equalization (DFE) in 65nm CMOS. Leveraging a time-interleaved successive approximation ADC architecture, new structures for embedded DFE and FFE are proposed with low power/area overhead. Measurement results over FR4 channels verify the effectiveness of proposed embedded equalization schemes. The comparison of fabricated prototypes against state-of-the-art general-purpose ADCs at similar speed/resolution range shows comparable performances, while the proposed architectures include embedded equalization as well

    Architectural & circuit level techniques to improve energy efficiency of high speed serial links

    Get PDF
    High performance computing and communication are two key aspects of all information processing systems. With aggressive scaling of silicon technology enabling integration of a large number of transistors in a small area, managing power and thermal reliability has become very challenging. While lowering the power needed for performing computation has been the prime focus for decades, energy consumed for data transfer has recently become a major bottleneck especially in high performance applications. The focus of this thesis is on improving energy efficiency of communication links by exploring design techniques at both the architectural and circuit levels. In the first part of this work, we propose a time-based equalization scheme to implement transmit de-emphasis in voltage-mode output drivers. Using two-level pulse-width modulation, it overcomes the tradeoff between impedance matching, output swing, and de-emphasis resolution in conventional voltage-mode drivers. A prototype PWM-based 5โ€‰\,Gb/s voltage-mode transmitter was implemented in a 90โ€‰\,nm CMOS process and characterized across different channels and output swings to demonstrate the effectiveness of proposed techniques. The horizontal/vertical eye openings (BER=10โˆ’12\rm 10^{-12}) at the ends of 60โ€‰\,inch and 96โ€‰\,inch stripline channels are 78โ€‰\,mV/0.6โ€‰\,UI and 8โ€‰\,mV/0.3โ€‰\,UI, respectively. This transmitter achieves an energy efficiency of 3.1โ€‰\,mW/Gb/s while compensating for 16-28โ€‰\,dB channel loss, which compares favorably with the state-of-the-art. In the second part, techniques to improve energy efficiency of a complete transceiver are presented. The transmitter employs a novel partially segmented voltage-mode output driver to lower power consumption in pre-drivers during 2-tap FIR equalization. The receiver implements a low power half-rate clock and data recovery with the proposed ring PLL based multi-phase sampling clock generation in CDR loop and charge-based sampling and deserialization. These techniques are verified using the measured results obtained from a 14Gb/s transceiver prototype. Transmitter achieves an energy efficiency of 0.89โ€‰\,mW/Gb/s while securing a 0.36โ€‰\,UI sampling time margin with BER=10โˆ’12\rm{BER=10^{-12}} at the end of the channel with 11โ€‰\,dB loss at Nyquist frequency. The receiver recovers sampling clock with 1.8โ€‰\,psrms\rm{ps_{rms}} long term absolute jitter while recovering 14โ€‰\,Gb/s data at BER=10โˆ’12\rm{BER=10^{-12}}. The receiver achieves an energy efficiency of 1.69โ€‰\,mW/Gb/s. Transmitter and receiver share an LC PLL, which achieves 0.605โ€‰\,psrms\rm{ps_{rms}} integrated jitter at 7โ€‰\,GHz output with an energy efficiency of 0.5โ€‰\,mW/GHz. The transceiver as a whole achieves an energy efficiency of 2.8โ€‰\,mW/Gb/s

    PHY Link Design and Optimization For High-Speed Low-Power Communication Systems

    Get PDF
    The ever-growing demands for high-bandwidth data transfer have been pushing towards advancing research efforts in the field of high-performing communication systems. Studies on the performance of single chip, e.g. faster multi-core processors and higher system memory capacity, have been explored. To further enhance the system performance, researches have been focused on the improvement of data-transfer bandwidth for chip-to-chip communication in the high-speed serial link. Many solutions have been addressed to overcome the bottleneck caused by the non-idealties such as bandwidth-limited electrical channel that connects two link devices and varieties of undesired noise in the communication systems. Nevertheless, with these solutions data have run into limitations of the timing margins for high-speed interfaces running at multiple gigabits per second data rates on low-cost Printed Circuit Board (PCB) material with constrained power budget. Therefore, the challenge in designing a physical layer (PHY) link for high-speed communication systems turns out to be power-efficient, reliable and cost-effective. In this context, this dissertation is intended to focus on architectural design, system-level and circuit-level verification of a PHY link as well as system performance optimization in respective of power, reliability and adaptability in high-speed communication systems. The PHY is mainly composed of clock data recovery (CDR), equalizers (EQs) and high- speed I/O drivers. Symmetrical structure of the PHY link is usually duplicated in both link devices for bidirectional data transmission. By introducing training mechanisms into high-speed communication systems, the timing in one link device is adaptively aligned to the timing condition specified in the other link device despite of different skews or induced jitter resulting from process, voltage and temperature (PVT) variations in the individual link. With reliable timing relationships among the interface signals provided, the total system bandwidth is dramatically improved. On the other hand, interface training offers high flexibility for reuse without further investigation on high demanding components involved in high costs. In the training mode, a CDR module is essential for reconstructing the transmitted bitstream to achieve the best data eye and to detect the edges of data stream in asynchronous systems or source-synchronous systems. Generally, the CDR works as a feedback control system that aligns its output clock to the center of the received data. In systems that contain multiple data links, the overall CDR power consumption increases linearly with the increase in number of links as one CDR is required for each link. Therefore, a power-efficient CDR plays a significant role in such systems with parallel links. Furthermore, a high performance CDR requires low jitter generation in spite of high input jitter. To minimize the trade-off between power consumption and CDR jitter, a novel CDR architecture is proposed by utilizing the proportional-integral (PI) controller and three times sampling scheme. Meanwhile, signal integrity (SI) becomes critical as the data rate exceeds several gigabits per second. Distorted data due to the non-idealties in systems are likely to reduce the signal quality aggressively and result in intolerable transmission errors in worst case scenarios, thus affect the system effective bandwidth. Hence, additional trainings such as transmitter (Tx) and receiver (Rx) EQ trainings for SI purpose are inserted into the interface training. Besides, a simplified system architecture with unsymmetrical placement of adaptive Rx and Tx EQs in a single link device is proposed and analyzed by using different coefficient adaptation algorithms. This architecture enables to reduce a large number of EQs through the training, especially in case of parallel links. Meanwhile, considerable power and chip area are saved. Finally, high-speed I/O driver against PVT variations is discussed. Critical issues such as overshoot and undershoot interfering with the data are primarily accompanied by impedance mismatch between the I/O driver and its transmitting channel. By applying PVT compensation technique I/O driver impedances can be effectively calibrated close to the target value. Different digital impedance calibration algorithms against PVT variations are implemented and compared for achieving fast calibration and low power requirements

    A duobinary receiver chip for 84 Gb/s serial data communication

    Get PDF

    ์ฐจ์„ธ๋Œ€ ์ž๋™์ฐจ์šฉ ์นด๋ฉ”๋ผ ๋ฐ์ดํ„ฐ ํ†ต์‹ ์„ ์œ„ํ•œ ๋น„๋Œ€์นญ ๋™์‹œ ์–‘๋ฐฉํ–ฅ ์†ก์ˆ˜์‹ ๊ธฐ์˜ ์„ค๊ณ„

    Get PDF
    ํ•™์œ„๋…ผ๋ฌธ(๋ฐ•์‚ฌ) -- ์„œ์šธ๋Œ€ํ•™๊ต๋Œ€ํ•™์› : ๊ณต๊ณผ๋Œ€ํ•™ ์ „๊ธฐยท์ •๋ณด๊ณตํ•™๋ถ€, 2022.2. ์ •๋•๊ท .๋ณธ ํ•™์œ„ ๋…ผ๋ฌธ์—์„œ๋Š” ์ฐจ์„ธ๋Œ€ ์ž๋™์ฐจ์šฉ ์นด๋ฉ”๋ผ ๋งํฌ๋ฅผ ์œ„ํ•ด ๋†’์€ ์†๋„์˜ 4๋ ˆ๋ฒจ ํŽ„์Šค ์ง„ํญ ๋ณ€์กฐ ์‹ ํ˜ธ์™€ ๋‚ฎ์€ ์†๋„์˜ 2๋ ˆ๋ฒจ ํŽ„์Šค ์ง„ํญ ๋ณ€์กฐ ์‹ ํ˜ธ๋ฅผ ํ†ต์‹ ํ•˜๋Š” ๋น„๋Œ€์นญ ๋™์‹œ ์–‘๋ฐฉํ–ฅ ์†ก์ˆ˜์‹ ๊ธฐ์˜ ์„ค๊ณ„ ๊ธฐ์ˆ ์— ๋Œ€ํ•ด ์ œ์•ˆํ•˜๊ณ  ๊ฒ€์ฆ๋˜์—ˆ๋‹ค. ์ฒซ๋ฒˆ์งธ ํ”„๋กœํ† ํƒ€์ž… ์„ค๊ณ„์—์„œ๋Š”, 10B6Q ์ง๋ฅ˜ ๋ฐธ๋Ÿฐ์Šค ์ฝ”๋“œ๋ฅผ ํƒ‘์žฌํ•œ 4๋ ˆ๋ฒจ ํŽ„์Šค ์ง„ํญ ๋ณ€์กฐ ์†ก์‹ ๊ธฐ์™€ ๊ณ ์ •๋œ ๋ฐ์ดํ„ฐ์™€ ์ฐธ์กฐ ๋ ˆ๋ฒจ์„ ๊ฐ€์ง€๋Š” 4๋ ˆ๋ฒจ ํŽ„์Šค ์ง„ํญ ๋ณ€์กฐ ์ ์‘ํ˜• ์ˆ˜์‹ ๊ธฐ์— ๋Œ€ํ•œ ๋‚ด์šฉ์ด ๊ธฐ์ˆ ๋˜์—ˆ๋‹ค. 4๋ ˆ๋ฒจ ํŽ„์Šค ์ง„ํญ ๋ณ€์กฐ ์†ก์‹ ๊ธฐ์—์„œ๋Š” ๊ต๋ฅ˜ ์—ฐ๊ฒฐ ๋งํฌ ์‹œ์Šคํ…œ์— ๋Œ€์‘ํ•˜๊ธฐ ์œ„ํ•œ ๋ฉด์  ๋ฐ ์ „๋ ฅ ํšจ์œจ์„ฑ์ด ์ข‹์€ 10B6Q ์ฝ”๋“œ๊ฐ€ ์ œ์•ˆ๋˜์—ˆ๋‹ค. ์ด ์ฝ”๋“œ๋Š” ์ง๋ฅ˜ ๋ฐธ๋Ÿฐ์Šค๋ฅผ ๋งž์ถ”๊ณ  ์—ฐ์†์ ์œผ๋กœ ๊ฐ™์€ ์‹ฌ๋ณผ์„ ๊ฐ€์ง€๋Š” ๊ธธ์ด๋ฅผ 6๊ฐœ๋กœ ์ œํ•œ ์‹œํ‚จ๋‹ค. ๋น„๋ก ์—ฌ๊ธฐ์„œ๋Š” ์ž…๋ ฅ ๋ฐ์ดํ„ฐ ๊ธธ์ด 10๋น„ํŠธ๋ฅผ ์‚ฌ์šฉํ•˜์˜€์ง€๋งŒ, ์ œ์•ˆ๋œ ๊ธฐ์ˆ ์€ ์นด๋ฉ”๋ผ์˜ ๋‹ค์–‘ํ•œ ๋ฐ์ดํ„ฐ ํƒ€์ž…์— ๋Œ€์‘ํ•  ์ˆ˜ ์žˆ๋„๋ก ์ž…๋ ฅ ๋ฐ์ดํ„ฐ ๊ธธ์ด์— ๋Œ€ํ•œ ํ™•์žฅ์„ฑ์„ ๊ฐ€์ง„๋‹ค. ๋ฐ˜๋ฉด, 4๋ ˆ๋ฒจ ํŽ„์Šค ์ง„ํญ ๋ณ€์กฐ ์ ์‘ํ˜• ์ˆ˜์‹ ๊ธฐ์—์„œ๋Š”, ์ƒ˜ํ”Œ๋Ÿฌ์˜ ์˜ต์…‹์„ ์ตœ์ ์œผ๋กœ ์ œ๊ฑฐํ•˜์—ฌ ๋” ๋‚ฎ์€ ๋น„ํŠธ์—๋Ÿฌ์œจ์„ ์–ป๊ธฐ ์œ„ํ•ด์„œ, ๊ธฐ์กด์˜ ๋ฐ์ดํ„ฐ ๋ฐ ์ฐธ์กฐ ๋ ˆ๋ฒจ์„ ์กฐ์ ˆํ•˜๋Š” ๋Œ€์‹ , ์ด ๋ ˆ๋ฒจ๋“ค์€ ๊ณ ์ •์‹œํ‚ค๊ณ  ๊ฐ€๋ณ€ ๊ฒŒ์ธ ์ฆํญ๊ธฐ๋ฅผ ์ ์‘ํ˜•์œผ๋กœ ์กฐ์ ˆํ•˜๋„๋ก ํ•˜์˜€๋‹ค. ์ƒ๊ธฐ 10B6Q ์ฝ”๋“œ ๋ฐ ๊ณ ์ • ๋ฐ์ดํ„ฐ ๋ฐ ์ฐธ์กฐ๋ ˆ๋ฒจ ๊ธฐ์ˆ ์„ ๊ฐ€์ง„ ํ”„๋กœํ† ํƒ€์ž… ์นฉ๋“ค์€ 40 ๋‚˜๋…ธ๋ฏธํ„ฐ ์ƒํ˜ธ๋ณด์™„ํ˜• ๋ฉ”ํƒˆ ์‚ฐํ™” ๋ฐ˜๋„์ฒด ๊ณต์ •์œผ๋กœ ์ œ์ž‘๋˜์—ˆ๊ณ  ์นฉ ์˜จ ๋ณด๋“œ ํ˜•ํƒœ๋กœ ํ‰๊ฐ€๋˜์—ˆ๋‹ค. 10B6Q ์ฝ”๋“œ๋Š” ํ•ฉ์„ฑ ๊ฒŒ์ดํŠธ ์ˆซ์ž๋Š” 645๊ฐœ์™€ ํ•จ๊ป˜ ๋‹จ 0.0009 mm2 ์˜ ๋ฉด์  ๋งŒ์„ ์ฐจ์ง€ํ•œ๋‹ค. ๋˜ํ•œ, 667 MHz ๋™์ž‘ ์ฃผํŒŒ์ˆ˜์—์„œ ๋‹จ 0.23 mW ์˜ ์ „๋ ฅ์„ ์†Œ๋ชจํ•œ๋‹ค. 10B6Q ์ฝ”๋“œ๋ฅผ ํƒ‘์žฌํ•œ ์†ก์‹ ๊ธฐ์—์„œ 8-Gb/s 4๋ ˆ๋ฒจ ํŽ„์Šค ์ง„ํญ ๋ณ€์กฐ ์‹ ํ˜ธ๋ฅผ ๊ณ ์ • ๋ฐ์ดํ„ฐ ๋ฐ ์ฐธ์กฐ ๋ ˆ๋ฒจ์„ ๊ฐ€์ง€๋Š” ์ ์‘ํ˜• ์ˆ˜์‹ ๊ธฐ๋กœ 12-m ์ผ€์ด๋ธ” (22-dB ์ฑ„๋„ ๋กœ์Šค) ์„ ํ†ตํ•ด์„œ ๋ณด๋‚ธ ๊ฒฐ๊ณผ ์ตœ์†Œ ๋น„ํŠธ ์—๋Ÿฌ์œจ 108 ์„ ๋‹ฌ์„ฑํ•˜์˜€๊ณ , ๋น„ํŠธ ์—๋Ÿฌ์œจ 105 ์—์„œ๋Š” ์•„์ด ๋งˆ์ง„์ด 0.15 UI x 50 mV ๋ณด๋‹ค ํฌ๊ฒŒ ์ธก์ •๋˜์—ˆ๋‹ค. ์†ก์ˆ˜์‹ ๊ธฐ๋ฅผ ํ•ฉ์นœ ์ „๋ ฅ ์†Œ๋ชจ๋Š” 65.2 mW (PLL ์ œ์™ธ) ์ด๊ณ , ์„ฑ๊ณผ์˜ ๋Œ€ํ‘œ์ˆ˜์น˜๋Š” 0.37 pJ/b/dB ๋ฅผ ๋ณด์—ฌ์ฃผ์—ˆ๋‹ค. ์ฒซ๋ฒˆ์งธ ํ”„๋กœํ† ํƒ€์ž… ์„ค๊ณ„์„ ํฌํ•จํ•˜์—ฌ ๊ฐœ์„ ๋œ ๋‘๋ฒˆ์งธ ํ”„๋กœํ† ํƒ€์ž… ์„ค๊ณ„์—์„œ๋Š”, 12-Gb/s 4๋ ˆ๋ฒจ ํŽ„์Šค ์ง„ํญ ๋ณ€์กฐ ์ •๋ฐฉํ–ฅ ์ฑ„๋„ ์‹ ํ˜ธ์™€ 125-Mb/s 2๋ ˆ๋ฒจ ํŽ„์Šค ์ง„ํญ ๋ณ€์กฐ ์—ญ๋ฐฉํ–ฅ ์ฑ„๋„ ์‹ ํ˜ธ๋ฅผ ํƒ‘์žฌํ•œ ๋น„๋Œ€์นญ ๋™์‹œ ์–‘๋ฐฉํ–ฅ ์†ก์ˆ˜์‹ ๊ธฐ์— ๋Œ€ํ•ด ๊ธฐ์ˆ ๋˜๊ณ  ๊ฒ€์ฆ๋˜์—ˆ๋‹ค. ์ œ์•ˆ๋œ ๋„“์€ ์„ ํ˜• ๋ฒ”์œ„๋ฅผ ๊ฐ€์ง€๋Š” ํ•˜์ด๋ธŒ๋ฆฌ๋“œ๋Š” gmC ์ €๋Œ€์—ญ ํ†ต๊ณผ ํ•„ํ„ฐ์™€ ์—์ฝ” ์ œ๊ฑฐ๊ธฐ์™€ ํ•จ๊ป˜ ์•„์›ƒ๋ฐ”์šด๋“œ ์‹ ํ˜ธ๋ฅผ 24 dB ์ด์ƒ ํšจ์œจ์ ์œผ๋กœ ๊ฐ์†Œ์‹œ์ผฐ๋‹ค. ๋˜ํ•œ, ๋„“์€ ์„ ํ˜• ๋ฒ”์œ„๋ฅผ ๊ฐ€์ง€๋Š” ํ•˜์ด๋ธŒ๋ฆฌ๋“œ์™€ ํ•จ๊ป˜ ๊ฒŒ์ธ ๊ฐ์†Œ๊ธฐ๋ฅผ ํ˜•์„ฑํ•˜๊ฒŒ ๋˜๋Š” ์„ ํ˜• ๋ฒ”์œ„ ์ฆํญ๊ธฐ๋ฅผ ํ†ตํ•ด 4๋ ˆ๋ฒจ ํŽ„์Šค ์ง„ํญ ๋ณ€์กฐ ์‹ ํ˜ธ์˜ ์„ ํ˜•์„ฑ๊ณผ ์ง„ํญ์˜ ํŠธ๋ ˆ์ด๋“œ ์˜คํ”„ ๊ด€๊ณ„๋ฅผ ๊นจ๋Š” ๊ฒƒ์ด ๊ฐ€๋Šฅํ•˜์˜€๋‹ค. ๋™์‹œ ์–‘๋ฐฉํ–ฅ ์†ก์ˆ˜์‹ ๊ธฐ ์นฉ์€ 40 ๋‚˜๋…ธ๋ฏธํ„ฐ ์ƒํ˜ธ๋ณด์™„ํ˜• ๋ฉ”ํƒˆ ์‚ฐํ™” ๋ฐ˜๋„์ฒด ๊ณต์ •์œผ๋กœ ์ œ์ž‘๋˜์—ˆ๋‹ค. ์ƒ๊ธฐ ์„ค๊ณ„ ๊ธฐ์ˆ ๋“ค์„ ์ด์šฉํ•˜์—ฌ, 4๋ ˆ๋ฒจ ํŽ„์Šค ์ง„ํญ ๋ณ€์กฐ ๋ฐ 2๋ ˆ๋ฒจ ํŽ„์Šค ์ง„ํญ ๋ณ€์กฐ ์†ก์ˆ˜์‹ ๊ธฐ ๋ชจ๋‘ 5m ์ฑ„๋„ (์ฑ„๋„ ๋กœ์Šค 15.9 dB) ์—์„œ 1E-12 ๋ณด๋‹ค ๋‚ฎ์€ ๋น„ํŠธ ์—๋Ÿฌ์œจ์„ ๋‹ฌ์„ฑํ•˜์˜€๊ณ , ์ด 78.4 mW ์˜ ์ „๋ ฅ ์†Œ๋ชจ๋ฅผ ๊ธฐ๋กํ•˜์˜€๋‹ค. ์ข…ํ•ฉ์ ์ธ ์†ก์ˆ˜์‹ ๊ธฐ๋Š” ์„ฑ๊ณผ ๋Œ€ํ‘œ์ง€ํ‘œ๋กœ 0.41 pJ/b/dB ์™€ ํ•จ๊ป˜ ๋™์‹œ ์–‘๋ฐฉํ–ฅ ํ†ต์‹  ์•„๋ž˜์—์„œ 4๋ ˆ๋ฒจ ํŽ„์Šค ์ง„ํญ ๋ณ€์กฐ ์‹ ํ˜ธ ๋ฐ 2๋ ˆ๋ฒจ ํŽ„์Šค ์ง„ํญ ๋ณ€์กฐ ์‹ ํ˜ธ ๊ฐ๊ฐ์—์„œ ์•„์ด ๋งˆ์ง„ 0.15 UI ์™€ 0.57 UI ๋ฅผ ๋‹ฌ์„ฑํ•˜์˜€๋‹ค. ์ด ์ˆ˜์น˜๋Š” ์„ฑ๊ณผ ๋Œ€ํ‘œ์ง€ํ‘œ 0.5 ์ดํ•˜๋ฅผ ๊ฐ€์ง€๋Š” ๊ธฐ์กด ๋™์‹œ ์–‘๋ฐฉํ–ฅ ์†ก์ˆ˜์‹ ๊ธฐ์™€์˜ ๋น„๊ต์—์„œ ์ตœ๊ณ ์˜ ์•„์ด ๋งˆ์ง„์„ ๊ธฐ๋กํ•˜์˜€๋‹ค.In this dissertation, design techniques of a highly asymmetric simultaneous bidirectional (SB) transceivers with high-speed PAM-4 and low-speed PAM-2 signals are proposed and demonstrated for the next-generation automotive camera link. In a first prototype design, a PAM-4 transmitter with 10B6Q DC balance code and a PAM-4 adaptive receiver with fixed data and threshold levels (dtLevs) are presented. In PAM-4 transmitter, an area- and power-efficient 10B6Q code for an AC coupled link system that guarantees DC balance and limited run length of six is proposed. Although the input data width of 10 bits is used here, the proposed scheme has an extensibility for the input data width to cover various data types of the camera. On the other hand, in the PAM-4 adaptive receiver, to optimally cancel the sampler offset for a lower BER, instead of adjusting dtLevs, the gain of a programmable gain amplifier is adjusted adaptively under fixed dtLevs. The prototype chips including above proposed 10B6Q code and fixed dtLevs are fabricated in 40-nm CMOS technology and tested in chip-on-board assembly. The 10B6Q code only occupies an active area of 0.0009 mm2 with a synthesized gate count of 645. It also consumes 0.23 mW at the operating clock frequency of 667 MHz. The transmitter with 10B6Q code delivers 8-Gb/s PAM-4 signal to the adaptive receiver using fixed dtLevs through a lossy 12-m cable (22-dB channel loss) with a BER of 1E-8, and the eye margin larger than 0.15 UI x 50 mV is measured for a BER of 1E-5. The proto-type chips consume 65.2 mW (excluding PLL), exhibiting an FoM of 0.37 pJ/b/dB. In a second prototype design advanced from the first prototypes, An asymmetric SB transceivers incorporating a 12-Gb/s PAM-4 forward channel and a 125-Mb/s PAM-2 back channel are presented and demonstrated. The proposed wide linear range (WLR) hybrid combined with a gmC low-pass filter and an echo canceller effectively suppresses the outbound signals by more than 24dB. In addition, linear range enhancer which forms a gain attenuator with WLR hybrid breaks the trade-off between the linearity and the amplitude of the PAM-4 signal. The SB transceiver chips are separately fabricated in 40-nm CMOS technology. Using above design techniques, both PAM-4 and PAM-2 SB transceivers achieve BER less than 1E-12 over a 5-m channel (15.9 dB channel loss), consuming 78.4 mW. The overall transceivers achieve an FoM of 0.41 pJ/b/dB and eye margin (at BER of 1E-12) of 0.15 UI and 0.57 UI for the forward PAM-4 and back PAM-2 signals, respectively, under SB communication. This is the best eye margin compared to the prior art SB transceivers with an FoM less than 0.5.CHAPTER 1 INTRODUCTION 1 1.1 MOTIVATION 1 1.2 DISSERTATION ORGANIZATION 4 CHAPTER 2 BACKGROUND ON AUTOMOTIVE CAMERA LINK 6 2.1 OVERVIEW 6 2.2 SYSTEM REQUIREMENTS 10 2.2.1 CHANNEL 10 2.2.2 POWER OVER DIFFERENTIAL LINE (PODL) 12 2.2.3 AC COUPLING AND DC BALANCE CODE 15 2.2.4 SIMULTANEOUS BIDIRECTIONAL COMMUNICATION 18 2.2.4.1 HYBRID 18 2.2.4.2 ECHO CANCELLER 20 2.2.5 ADAPTIVE RECEIVE EQUALIZATION 22 CHAPTER 3 AREA AND POWER EFFICIENT 10B6Q ENCODER FOR DC BALANCE 25 3.1 INTRODUCTION 25 3.2 PRIOR WORKS 28 3.3 PROPOSED AREA- AND POWER-EFFICIENT 10B6Q PAM-4 CODER 30 3.4 DESIGN OF THE 10B6Q CODE 33 3.4.1 PAM-4 DC BALANCE 35 3.4.2 PAM-4 TRANSITION DENSITY 35 3.4.3 10B6Q DECODER 37 3.5 IMPLEMENTATION AND MEASUREMENT RESULTS 40 CHAPTER 4 PAM-4 TRANSMITTER AND ADAPTIVE RECEIVER WITH FIXED DATA AND THRESHOLD LEVELS 45 4.1 INTRODUCTION 45 4.2 PRIOR WORKS 47 4.3 ARCHITECTURE AND IMPLEMENTATION 49 4.2.1 PAM-4 TRANSMITTER 49 4.2.2 PAM-4 ADAPTIVE RECEIVER 52 4.3 MEASUREMENT RESULTS 62 CHAPTER 5 ASYMMETRIC SIMULTANEOUS BIDIRECTIONAL TRANSCEIVERS USING WIDE LINEAR RANGE HYBRID 68 5.1 INTRODUCTION 68 5.2 PRIOR WORKS 70 5.3 WIDE LINEAR RANGE (WLR) HYBRID 75 5.3 IMPLEMENTATION 78 5.3.1 SERIALIZER (SER) DESIGN 78 5.3.2 DESERIALIZER (DES) DESIGN 79 5.4 HALF CIRCUIT ANALYSIS OF WLR HYBRID AND LRE 82 5.5 MEASUREMENT RESULTS 88 CHAPTER 6 CONCLUSION 97 BIBLIOGRAPHY 99 ์ดˆ ๋ก 106๋ฐ•

    Power-efficient high-speed interface circuit techniques

    Get PDF
    Inter- and intra-chip connections have become the new challenge to enable the scaling of computing systems, ranging from mobile devices to high-end servers. Demand for aggregate I/O bandwidth has been driven by applications including high-speed ethernet, backplane micro-servers, memory, graphics, chip-to-chip and network onchip. I/O circuitry is becoming the major power consumer in SoC processors and memories as the increasing bandwidth demands larger per-pin data rate or larger I/O pin count per component. The aggregate I/O bandwidth has approximately doubled every three to four years across a diverse range of standards in different applications. However, in order to keep pace with these standards enabled in part by process-technology scaling, we will require more than just device scaling in the near future. New energy-efficient circuit techniques must be proposed to enable the next generations of handheld and high-performance computers, given the thermal and system-power limits they start facing. ^ In this work, we are proposing circuit architectures that improve energy efficiency without decreasing speed performance for the most power hungry circuits in high speed interfaces. By the introduction of a new kind of logic operators in CMOS, called implication operators, we implemented a new family of high-speed frequency dividers/prescalers with reduced footprint and power consumption. New techniques and circuits for clock distribution, for pre-emphasis and for driver at the transmitter side of the I/O circuitry have been proposed and implemented. At the receiver side, new DFE architecture and CDR have been proposed and have been proven experimentally

    Design of reliable and energy-efficient high-speed interface circuits

    Get PDF
    The data-rate demand in high-speed interface circuits increases exponentially every year. High-speed I/Os are better implemented in advanced process technologies for lower-power systems, with the advantages of improved driving capability of the transistors and reduced parasitic capacitance. However, advanced technologies are not necessarily advantageous in terms of device reliability; in particular device failure from electrostatic discharge (ESD) becomes more likely in nano-scale process nodes. In order to secure ESD resiliency, the size of ESD devices on I/O pads should be sufficiently large, which may potentially reduce I/O speed. These two conflicting requirements in high-speed I/O design sometimes require sacrifice to one of the two properties. In this dissertation, three different approaches are proposed to achieve reliable and energy-efficient interface circuits. As the first approach, a novel ESD self-protection scheme to utilize โ€œadaptive active bias conditioningโ€ is proposed to reduce voltage stress on the vulnerable transistors, thereby reducing the burden on ESD protection devices. The second approach is to cancel out effective parasitic capacitance from ESD devices by the T-coil network. Voltage overshoot generated by magnetic coupling of the T-coil network can be suppressed by the proposed โ€œinductance halvingโ€ technique, which reduces mutual inductance during ESD. The last approach employs system-level knowledge in the design of an ADC-based receiver for high intersymbol interference (ISI) channels. As a system-level performance metric, bit-error rate (BER) is adopted to mitigate a bit-resolution requirement in โ€œBER-optimal ADCโ€, which can lead to 2ร— power-efficiency in the flash ADC and achieve a better BER performance
    corecore